wiki:AccuVbe

Version 3 (modified by alain, 17 years ago) (diff)

--

-- Registre accumulateur 4 bits

ENTITY accu4 IS
PORT (
  ck     : IN  BIT;
  d      : IN  BIT_VECTOR(3 DOWNTO 0);
  q      : OUT BIT_VECTOR(3 DOWNTO 0);
  vdd    : IN  BIT;
  vss    : IN  BIT);
END accu4;

ARCHITECTURE vbe OF accu4 IS

SIGNAL x : REG_VECTOR(3 DOWNTO 0) REGISTER;

BEGIN

  q <= x;
  label0 : BLOCK(ck='1' AND NOT ck 'STABLE)
  BEGIN
    x <= GUARDED d;
  END BLOCK;

END vbe;