source: trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_library_ieee.cpp @ 71

Last change on this file since 71 was 71, checked in by rosiere, 16 years ago

Modification of Statisctics
Add a new systemC component : Load_Store_Queue (tested with one benchmark and one configuration). Store don't supported the Data Buss Error (Load is supported)

File size: 1.2 KB
Line 
1#ifdef VHDL
2
3/*
4 * $Id$
5 *
6 * [ Description ]
7 *
8 */
9
10#include "Behavioural/include/Vhdl.h"
11
12#include <sstream>
13
14namespace morpheo              {
15namespace behavioural          {
16 
17#undef  FUNCTION
18#define FUNCTION "Vhdl::get_library_ieee"
19  std::string Vhdl::get_library_ieee (uint32_t depth)
20  {
21    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
22
23    std::string        tab = std::string(depth,'\t');
24    std::ostringstream text;
25
26    text << tab                                       << std::endl
27         << tab << "library ieee;"                    << std::endl
28         << tab << "use ieee.numeric_bit.all;"        << std::endl
29         << tab << "use ieee.numeric_std.all;"        << std::endl
30         << tab << "use ieee.std_logic_1164.all;"     << std::endl
31         << tab << "use ieee.std_logic_arith.all;"    << std::endl
32         << tab << "use ieee.std_logic_misc.all;"     << std::endl
33         << tab << "--use ieee.std_logic_signed.all;" << std::endl
34         << tab << "use ieee.std_logic_unsigned.all;" << std::endl
35         << tab << "--use ieee.std_logic_textio.all;" << std::endl;
36     
37    log_printf(FUNC,Behavioural,FUNCTION,"End");
38
39    return text.str();
40  };
41 
42}; // end namespace behavioural         
43}; // end namespace morpheo             
44
45#endif
Note: See TracBrowser for help on using the repository browser.