source: trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_library_ieee.cpp @ 94

Last change on this file since 94 was 94, checked in by rosiere, 15 years ago

Update document on Vhdl generation.

  • Property svn:keywords set to Id
File size: 1.3 KB
Line 
1#ifdef VHDL
2
3/*
4 * $Id: Vhdl_get_library_ieee.cpp 94 2008-12-15 11:04:03Z rosiere $
5 *
6 * [ Description ]
7 *
8 */
9
10#include "Behavioural/include/Vhdl.h"
11#include "Common/include/Tabulation.h"
12
13#include <sstream>
14
15namespace morpheo              {
16namespace behavioural          {
17 
18#undef  FUNCTION
19#define FUNCTION "Vhdl::get_library_ieee"
20  std::string Vhdl::get_library_ieee (uint32_t depth)
21  {
22    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
23
24    std::string        tab = morpheo::tab(depth);
25    std::ostringstream text;
26
27    text << tab                                         << std::endl
28         << tab << "library ieee;"                      << std::endl
29         << tab << "  use ieee.numeric_bit.all;       " << std::endl
30         << tab << "  use ieee.numeric_std.all;       " << std::endl
31         << tab << "  use ieee.std_logic_1164.all;    " << std::endl
32         << tab << "  use ieee.std_logic_arith.all;   " << std::endl
33         << tab << "  use ieee.std_logic_misc.all;    " << std::endl
34         << tab << "--use ieee.std_logic_signed.all;  " << std::endl
35         << tab << "  use ieee.std_logic_unsigned.all;" << std::endl
36         << tab << "--use ieee.std_logic_textio.all;  " << std::endl;
37     
38    log_printf(FUNC,Behavioural,FUNCTION,"End");
39
40    return text.str();
41  };
42 
43}; // end namespace behavioural         
44}; // end namespace morpheo             
45
46#endif
Note: See TracBrowser for help on using the repository browser.