Changeset 43


Ignore:
Timestamp:
Jul 9, 2007, 11:04:26 AM (17 years ago)
Author:
rosiere
Message:

Modif mineur : ajout d'info de débug

Release non stable

Location:
trunk/IPs/systemC/processor/Morpheo
Files:
7 added
16 deleted
131 edited
8 copied
3 moved

Legend:

Unmodified
Added
Removed
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Counter/src/Counter_vhdl.cpp

    r42 r43  
    1919  {
    2020    log_printf(FUNC,Counter,"vhdl","Begin");
     21
    2122    Vhdl * vhdl = new Vhdl (_name);
    2223
    23     vhdl->set_library_work (_name + "_Pack");
     24    _interfaces->set_port     (vhdl);
     25    _component ->vhdl_instance(vhdl);
    2426
    25     vhdl_port        (vhdl);
    2627    vhdl_declaration (vhdl);
    2728    vhdl_body        (vhdl);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/include/RegisterFile_Monolithic.h

    r42 r43  
    114114#if VHDL                                       
    115115  private : void     vhdl                      (void);
    116   private : void     vhdl_port                 (Vhdl * & vhdl);
    117   private : void     vhdl_type                 (Vhdl * & vhdl);
    118   private : void     vhdl_signal               (Vhdl * & vhdl);
     116  private : void     vhdl_declaration          (Vhdl * & vhdl);
    119117  private : void     vhdl_body                 (Vhdl * & vhdl);
    120118#endif                                         
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/src/RegisterFile_Monolithic_vhdl.cpp

    r41 r43  
    2020    Vhdl * vhdl = new Vhdl (_name);
    2121
    22     vhdl->set_library_work (_name + "_Pack");
     22    _interfaces->set_port     (vhdl);
     23    _component ->vhdl_instance(vhdl);
    2324
    24     vhdl_port   (vhdl);
    25     vhdl_type   (vhdl);
    26     vhdl_signal (vhdl);
    27     vhdl_body   (vhdl);
     25    vhdl_declaration (vhdl);
     26    vhdl_body        (vhdl);
    2827
    2928    vhdl->generate_file();
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Select/Select_Priority_Fixed/include/Select_Priority_Fixed.h

    r42 r43  
    110110#if VHDL                                       
    111111  public  : void     vhdl                      (void);
    112   private : void     vhdl_port                 (Vhdl * & vhdl);
    113112  private : void     vhdl_declaration          (Vhdl * & vhdl);
    114113  private : void     vhdl_body                 (Vhdl * & vhdl);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Select/Select_Priority_Fixed/src/Select_Priority_Fixed_vhdl.cpp

    r40 r43  
    2323    Vhdl * vhdl = new Vhdl (_name);
    2424
    25     vhdl->set_library_work (_name + "_Pack");
     25    _interfaces->set_port     (vhdl);
     26    _component ->vhdl_instance(vhdl);
    2627
    27     vhdl_port        (vhdl);
    2828    vhdl_declaration (vhdl);
    2929    vhdl_body        (vhdl);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Shifter/include/Shifter.h

    r42 r43  
    103103#if VHDL                                       
    104104  public  : void     vhdl                      (void);
    105   private : void     vhdl_port                 (Vhdl * & vhdl);
    106105  private : void     vhdl_declaration          (Vhdl * & vhdl);
    107106  private : void     vhdl_body                 (Vhdl * & vhdl);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Shifter/src/Shifter_vhdl.cpp

    r42 r43  
    2020    Vhdl * vhdl = new Vhdl (_name);
    2121
    22     vhdl->set_library_work (_name + "_Pack");
     22    _interfaces->set_port     (vhdl);
     23    _component ->vhdl_instance(vhdl);
    2324
    24     vhdl_port        (vhdl);
    2525    vhdl_declaration (vhdl);
    2626    vhdl_body        (vhdl);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Victim/Victim_Pseudo_LRU/include/Victim_Pseudo_LRU.h

    r42 r43  
    240240#if VHDL                                       
    241241  public  : void     vhdl                      (void);
    242   private : void     vhdl_port                 (Vhdl * & vhdl);
    243242  private : void     vhdl_declaration          (Vhdl * & vhdl);
    244243  private : void     vhdl_body                 (Vhdl * & vhdl);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Victim/Victim_Pseudo_LRU/src/Victim_Pseudo_LRU_vhdl.cpp

    r42 r43  
    2121    log_printf(FUNC,Victim_Pseudo_LRU,"vhdl","Begin");
    2222
    23     log_printf(TRACE,Victim_Pseudo_LRU,"vhdl","Construction of vhdl");
    2423    Vhdl * vhdl = new Vhdl (_name);
    2524
    26     log_printf(TRACE,Victim_Pseudo_LRU,"vhdl","Set library");
    27     vhdl->set_library_work (_name + "_Pack");
     25    _interfaces->set_port     (vhdl);
     26    _component ->vhdl_instance(vhdl);
    2827
    29     log_printf(TRACE,Victim_Pseudo_LRU,"vhdl","Set port");
    30     vhdl_port        (vhdl);
    31     log_printf(TRACE,Victim_Pseudo_LRU,"vhdl","Set declaration");
    3228    vhdl_declaration (vhdl);
    33     log_printf(TRACE,Victim_Pseudo_LRU,"vhdl","Set body");
    3429    vhdl_body        (vhdl);
    35     log_printf(TRACE,Victim_Pseudo_LRU,"vhdl","Generate File");
     30
    3631    vhdl->generate_file();
    3732
    3833    delete vhdl;
     34
    3935    log_printf(FUNC,Victim_Pseudo_LRU,"vhdl","End");
    4036  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Makefile.Selftest

    r42 r43  
    1515
    1616#-----[ Variables ]----------------------------------------
    17 CFG_FILE                        = configuration.cfg
     17CFG_FILE_EXTENSION              = cfg
    1818
    1919OBJECTS                         = $(OBJECTS_COMMON)
     
    4545                                @$(ECHO) "Generate configuration"
    4646                                @                                                                                                       \
    47                                 declare     NAME;                                                                                       \
    48                                 declare -ai MIN ;                                                                                       \
    49                                 declare -ai MAX ;                                                                                       \
    50                                 declare -a  STEP;                                                                                       \
    51                                 declare -a  LINE;                                                                                       \
    5247                                declare -i  CPT=0;                                                                                      \
    53                                 declare -ai PERIOD;                                                                                     \
    54                                 declare -a  RANGE;                                                                                      \
    55                                 declare -a  RANGE_LOCAL;                                                                                \
    56                                 declare -a  SIZE;                                                                                       \
    57                                 PERIOD[0]=1;                                                                                            \
     48                                for file in $$($(LS) *.$(CFG_FILE_EXTENSION) ); do                                                      \
    5849                                                                                                                                        \
    59                                 while $(READ) line; do                                                                                  \
    60                                         LINE=($$line);                                                                                  \
     50                                        declare     NAME;                                                                               \
     51                                        declare -ai MIN ;                                                                               \
     52                                        declare -ai MAX ;                                                                               \
     53                                        declare -a  STEP;                                                                               \
     54                                        declare -a  LINE;                                                                               \
     55                                        declare -ai PERIOD;                                                                             \
     56                                        declare -a  RANGE;                                                                              \
     57                                        declare -a  RANGE_LOCAL;                                                                        \
     58                                        declare -a  SIZE;                                                                               \
     59                                        declare -i  IT=0;                                                                               \
    6160                                                                                                                                        \
    62                                         if $(TEST) $$CPT -eq 0; then                                                                    \
    63                                                 NAME=$${LINE[0]};                                                                       \
    64                                         else                                                                                            \
    65                                                 MIN[$$CPT]=$${LINE[0]};                                                                 \
    66                                                 MAX[$$CPT]=$${LINE[1]};                                                                 \
    67                                                 STEP[$$CPT]=$${LINE[2]};                                                                \
    68                                                 RANGE[$$CPT]=$$($(DIR_SCRIPT)/range.sh $${LINE[0]} $${LINE[1]} $${LINE[2]});            \
    69                                                 RANGE_LOCAL=($${RANGE[$$CPT]});                                                         \
    70                                                 SIZE[$$CPT]=$${#RANGE_LOCAL[*]};                                                        \
    71                                                 PERIOD[$$CPT]=$$(($${PERIOD[$$(($$CPT-1))]}*$${SIZE[$$CPT]}));                          \
    72                                         fi;                                                                                             \
     61                                        PERIOD[0]=1;                                                                                    \
    7362                                                                                                                                        \
    74                                         CPT=$$(($$CPT+1));                                                                              \
    75                                 done < $(CFG_FILE);                                                                                     \
     63                                        while $(READ) line; do                                                                          \
     64                                                LINE=($$line);                                                                          \
    7665                                                                                                                                        \
    77                                 declare -i x;                                                                                           \
    78                                 declare -a DATA;                                                                                        \
    79                                 declare -ai ENUM_CONFIG=($$($(DIR_SCRIPT)/range.sh 0 $$(($${PERIOD[$$(($$CPT-1))]}-1))));               \
    80                                 declare -ai ENUM_PARAM=($$($(DIR_SCRIPT)/range.sh 1 $${#MIN[*]}));                                      \
     66                                                if $(TEST) $$IT -eq 0; then                                                             \
     67                                                        NAME=$${LINE[0]};                                                               \
     68                                                else                                                                                    \
     69                                                        MIN[$$IT]=$${LINE[0]};                                                          \
     70                                                        MAX[$$IT]=$${LINE[1]};                                                          \
     71                                                        STEP[$$IT]=$${LINE[2]};                                                         \
     72                                                        RANGE[$$IT]=$$($(DIR_SCRIPT)/range.sh $${LINE[0]} $${LINE[1]} $${LINE[2]});     \
     73                                                        RANGE_LOCAL=($${RANGE[$$IT]});                                                  \
     74                                                        SIZE[$$IT]=$${#RANGE_LOCAL[*]};                                                 \
     75                                                        PERIOD[$$IT]=$$(($${PERIOD[$$(($$IT-1))]}*$${SIZE[$$IT]}));                     \
     76                                                fi;                                                                                     \
    8177                                                                                                                                        \
    82                                 $(ECHO) " * they are $${#MIN[*]} parameters";                                                           \
    83                                 $(ECHO) " * generate $${PERIOD[$$(($$CPT-1))]} configurations";                                         \
     78                                                IT=$$(($$IT+1));                                                                        \
     79                                        done < $$file;                                                                                  \
    8480                                                                                                                                        \
    85                                 for i in $${ENUM_CONFIG[*]}; do                                                                         \
    86                                         x=$$i;                                                                                          \
    87                                         for j in $${ENUM_PARAM[*]}; do                                                                  \
    88                                                 RANGE_LOCAL=($${RANGE[$$j]});                                                           \
    89                                                 DATA[$$j]="$${RANGE_LOCAL[$$((($$x % $${SIZE[$$j]})))]} ";                              \
    90                                                 x=$$(($$x/$${SIZE[$$j]}));                                                              \
     81                                        declare -i x;                                                                                   \
     82                                        declare -a DATA;                                                                                \
     83                                        declare -ai ENUM_CONFIG=($$($(DIR_SCRIPT)/range.sh 0 $$(($${PERIOD[$$(($$IT-1))]}-1))));        \
     84                                        declare -ai ENUM_PARAM=($$($(DIR_SCRIPT)/range.sh 1 $${#MIN[*]}));                              \
     85                                                                                                                                        \
     86                                        $(ECHO) " * File : $$file";                                                                     \
     87                                        $(ECHO) "   * They are $${#MIN[*]} parameters";                                                 \
     88                                        $(ECHO) "   * Generate $${PERIOD[$$(($$IT-1))]} configurations";                                \
     89                                                                                                                                        \
     90                                        for i in $${ENUM_CONFIG[*]}; do                                                                 \
     91                                                x=$$i;                                                                                  \
     92                                                for j in $${ENUM_PARAM[*]}; do                                                          \
     93                                                        RANGE_LOCAL=($${RANGE[$$j]});                                                   \
     94                                                        DATA[$$j]="$${RANGE_LOCAL[$$((($$x % $${SIZE[$$j]})))]} ";                      \
     95                                                        x=$$(($$x/$${SIZE[$$j]}));                                                      \
     96                                                done;                                                                                   \
     97                                                $(ECHO) $${DATA[*]} > "$(DIR_CFG_GEN)/$${NAME}_$$CPT.cfg";                              \
     98                                                $(ECHO) "   - {$$CPT} $${DATA[*]}";                                                     \
     99                                                CPT=$$(($$CPT+1));                                                                      \
    91100                                        done;                                                                                           \
    92                                         $(ECHO) $${DATA[*]} > "$(DIR_CFG_GEN)/$${NAME}_$${i}.cfg";                                              \
    93                                         $(ECHO) "  - {$$i} $${DATA[*]}";                                                                \
    94101                                done;
    95102
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Makefile.deps

    r2 r43  
    88# DIR_MORPHEO must be defined
    99
     10#ifndef Behavioural
     11#include $(DIR_MORPHEO)/Behavioural/Makefile.deps
     12#endif
     13#
    1014Behavioural                     = yes
    1115
    1216#-----[ Library ]------------------------------------------
    13 Behavioural_LIBRARY             =       -lBehavioural
     17Behavioural_LIBRARY             =       -lBehavioural                           
     18
     19#                                       $(Common_LIBRARY)
    1420
    1521Behavioural_DIR_LIBRARY         =       -L$(DIR_MORPHEO)/Behavioural/lib
     22
     23#                                       $(Common_DIR_LIBRARY)
    1624
    1725#-----[ Rules ]--------------------------------------------
     
    1927Behavioural_library             :
    2028                                @$(MAKE) --directory=$(DIR_MORPHEO)/Behavioural --makefile=Makefile
     29
     30#                               @$(MAKE) Common_library
    2131       
    2232Behavioural_library_clean       :
    2333                                @$(MAKE) --directory=$(DIR_MORPHEO)/Behavioural --makefile=Makefile clean
     34
     35#                               @$(MAKE) Common_library_clean
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Makefile.flags

    r42 r43  
    1818                                        -DVHDL_TESTBENCH        \
    1919                                        -DVHDL_TESTBENCH_ASSERT \
    20                                         -DDEBUG=DEBUG_NONE
     20                                        -DDEBUG=DEBUG_ALL
    2121
    2222#                                       -DCONFIGURATION         \
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Branch_History_Table/include/Branch_History_Table.h

    r42 r43  
    119119#if VHDL                                       
    120120  public  : void     vhdl                      (void);
    121   private : void     vhdl_port                 (Vhdl * & vhdl);
    122   private : void     vhdl_type                 (Vhdl * & vhdl);
    123   private : void     vhdl_signal               (Vhdl * & vhdl);
     121  private : void     vhdl_declaration          (Vhdl * & vhdl);
    124122  private : void     vhdl_body                 (Vhdl * & vhdl);
    125123#endif                                         
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Branch_History_Table/src/Branch_History_Table_vhdl.cpp

    r42 r43  
    2323    Vhdl * vhdl = new Vhdl (_name);
    2424
    25     vhdl->set_library_work (_name + "_Pack");
    26     vhdl->set_library_work (_name + "_RegisterFile_Pack");
    27     vhdl->set_library_work (_name + "_Shifter_Pack");
     25    _interfaces->set_port     (vhdl);
     26    _component ->vhdl_instance(vhdl);
    2827
    29     vhdl_port   (vhdl);
    30     vhdl_type   (vhdl);
    31     vhdl_signal (vhdl);
    32     vhdl_body   (vhdl);
     28    vhdl_declaration (vhdl);
     29    vhdl_body        (vhdl);
    3330
    3431    vhdl->generate_file();
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table/SelfTest/configuration.cfg

    r42 r43  
    11Pattern_History_Table
    2 8       8       *2      # size_counter         
    3 64      64      *4      # nb_counter       
    4 2       2       +1      # nb_prediction     
    5 2       2       +1      # nb_branch_complete
     22       8       *2      # size_counter         
     32       64      *4      # nb_counter       
     41       2       +1      # nb_prediction     
     51       2       +1      # nb_branch_complete
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table/src/Pattern_History_Table_vhdl.cpp

    r42 r43  
    2222  {
    2323    log_printf(FUNC,Pattern_History_Table,"vhdl","Begin");
     24
    2425    Vhdl * vhdl = new Vhdl (_name);
    2526
    26     _interfaces->set_port(vhdl);
    27     _component->vhdl_instance(vhdl);
     27    _interfaces->set_port     (vhdl);
     28    _component ->vhdl_instance(vhdl);
    2829
    2930    vhdl_declaration (vhdl);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/SelfTest/config0.cfg

    r5 r43  
    221       1       +1      # have_bht           
    338       8       +1      # bht_size_shifter   
    4 512     512     *2      # bht_nb_shifter     
     432      32      *2      # bht_nb_shifter     
    551       1       +1      # have_pht           
    668       8       +1      # pht_size_counter   
    7 512     512     *2      # pht_nb_counter     
     732      32      *2      # pht_nb_counter     
    882       2       +1      # pht_size_address_share
    9916      16      +1      # size_address     
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/SelfTest/src/test.cpp

    r15 r43  
    137137    BRANCH_COMPLETE_VAL          [i]->write(0);
    138138
    139   _Two_Level_Branch_Predictor->vhdl_testbench_label("Initialisation");
    140139  cout << "{"+toString(static_cast<uint32_t>(sc_simulation_time()))+"} Initialisation" << endl;
    141140
     
    157156      uint32_t nb_reg_by_group = (1<<(param._pht_size_address-size_address_shift));
    158157
    159       _Two_Level_Branch_Predictor->vhdl_testbench_label("Init pht");
    160158      cout << "{"+toString(static_cast<uint32_t>(sc_simulation_time()))+"} Init pht" << endl;
    161159     
     
    186184      BRANCH_COMPLETE_BHT_HISTORY  [num_port_branch_complete]->write(0);
    187185     
    188       _Two_Level_Branch_Predictor->vhdl_testbench_label("Init bht");
    189186      cout << "{"+toString(static_cast<uint32_t>(sc_simulation_time()))+"} Init bht" << endl;
    190187     
     
    206203  BRANCH_COMPLETE_ADDRESS      [num_port_branch_complete]->write(address);
    207204
    208   _Two_Level_Branch_Predictor->vhdl_testbench_label("Loop of Test");
    209205  cout << "{"+toString(static_cast<uint32_t>(sc_simulation_time()))+"} Loop of Test" << endl
    210206       << " * predict_address : " << hex << address << dec << endl;
     
    219215  for (uint32_t iteration=0; iteration<NB_ITERATION; iteration ++)
    220216    {
    221       _Two_Level_Branch_Predictor->vhdl_testbench_label("Iteration "+toString(iteration));
    222 
    223217      cout << "{"+toString(static_cast<uint32_t>(sc_simulation_time()))+"} Predict          : bht_history " << bht_history << " - pht_history " << pht_history[bht_history] << endl;
    224218
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Two_Level_Branch_Predictor_Glue/include/Two_Level_Branch_Predictor_Glue.h

    r42 r43  
    129129#if VHDL                                       
    130130  public  : void     vhdl                      (void);
    131   private : void     vhdl_port                 (Vhdl * & vhdl);
    132131  private : void     vhdl_declaration          (Vhdl * & vhdl);
    133132  private : void     vhdl_body                 (Vhdl * & vhdl);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/Two_Level_Branch_Predictor_Glue/src/Two_Level_Branch_Predictor_Glue_vhdl.cpp

    r42 r43  
    2525    Vhdl * vhdl = new Vhdl (_name);
    2626
    27     vhdl->set_library_work (_name + "_Pack");
     27    _interfaces->set_port     (vhdl);
     28    _component ->vhdl_instance(vhdl);
    2829
    29     vhdl_port        (vhdl);
    3030    vhdl_declaration (vhdl);
    3131    vhdl_body        (vhdl);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/include/Two_Level_Branch_Predictor.h

    r42 r43  
    135135#if VHDL                                       
    136136  public  : void     vhdl                      (void);
    137   private : void     vhdl_port                 (Vhdl * & vhdl);
    138137  private : void     vhdl_declaration          (Vhdl * & vhdl);
    139138  private : void     vhdl_body                 (Vhdl * & vhdl);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/src/Two_Level_Branch_Predictor_allocation.cpp

    r42 r43  
    8989    for (uint32_t i=0; i<_param._nb_branch_complete; i++)
    9090      {
    91          in_BRANCH_COMPLETE_VAL         [i] = new interface->set_signal_valack_in            ("val"        , VAL);                 
    92         out_BRANCH_COMPLETE_ACK         [i] = new interface->set_signal_valack_out           ("ack"        , ACK);                 
    93          in_BRANCH_COMPLETE_ADDRESS     [i] = new interface->set_signal_in  <Taddress_t    > ("address"    , _param._size_address);
     91        Interface_fifo * interface = _interfaces->set_interface("branch_complete_"+toString(i)
     92#ifdef POSITION
     93                                                                , IN 
     94                                                                , EAST
     95                                                                , "Interface branch complete"
     96#endif
     97                                                                );
     98
     99         in_BRANCH_COMPLETE_VAL         [i] = interface->set_signal_valack_in            ("val"        , VAL);             
     100        out_BRANCH_COMPLETE_ACK         [i] = interface->set_signal_valack_out           ("ack"        , ACK);             
     101         in_BRANCH_COMPLETE_ADDRESS     [i] = interface->set_signal_in  <Taddress_t    > ("address"    , _param._size_address);
    94102        if (_param._have_bht)
    95          in_BRANCH_COMPLETE_BHT_HISTORY [i] = new interface->set_signal_in  <Tbht_history_t> ("bht_history", _param._bht_size_shifter);
     103         in_BRANCH_COMPLETE_BHT_HISTORY [i] = interface->set_signal_in  <Tbht_history_t> ("bht_history", _param._bht_size_shifter);
    96104        if (_param._have_pht)
    97          in_BRANCH_COMPLETE_PHT_HISTORY [i] = new interface->set_signal_in  <Tpht_history_t> ("pht_history", _param._pht_size_counter);
    98          in_BRANCH_COMPLETE_DIRECTION   [i] = new interface->set_signal_in  <Tcontrol_t    > ("direction"  , 1);
     105         in_BRANCH_COMPLETE_PHT_HISTORY [i] = interface->set_signal_in  <Tpht_history_t> ("pht_history", _param._pht_size_counter);
     106         in_BRANCH_COMPLETE_DIRECTION   [i] = interface->set_signal_in  <Tcontrol_t    > ("direction"  , 1);
    99107      }
    100108
     
    111119                                                                                                                                                                                       ,_param_statistics
    112120#endif
    113                                                                                                                                                                                        ,*(_param._param_counter));
     121                                                                                                                                                                                       ,*(_param._param_branch_history_table));
    114122       
    115123        _component->set_component (component_Branch_History_Table->_component
     
    132140                                                                                                                                                                                          ,_param_statistics
    133141#endif
    134                                                                                                                                                                                           ,*(_param._param_counter));
     142                                                                                                                                                                                          ,*(_param._param_pattern_history_table));
    135143       
    136144        _component->set_component (component_Pattern_History_Table->_component
     
    152160                                                                                                                                                                                                                   ,_param_statistics
    153161#endif
    154                                                                                                                                                                                                                    ,*(_param._param_counter));
    155    
    156     _component->set_component (component_Pattern_History_Table->_component
     162                                                                                                                                                                                                                   ,*(_param._param_two_level_branch_predictor_glue));
     163   
     164    _component->set_component (component_Two_Level_Branch_Predictor_Glue->_component
    157165#ifdef POSITION
    158166                               , 50
     
    164172   
    165173    // ~~~~~[ Component - Instanciation ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
    166    
     174
    167175    // =====[ component_Branch_History_Table - Instanciation ]============
    168176    if (_param._have_bht)
    169177      {
     178        cout << "GEU 1" << endl;
    170179#ifdef POSITION
    171180        _component->interface_map (_name+"_Branch_History_Table","",
     
    205214    if (_param._have_pht)
    206215      {
     216        cout << "GEU 2" << endl;
    207217#ifdef POSITION
    208218        _component->interface_map (_name+"_Pattern_History_Table","",
     
    224234            _component->port_map(_name+"_Pattern_History_Table","out_PREDICT_"+toString(i)+"_HISTORY", _name                                   ,"out_PREDICT_"+toString(i)+"_PHT_HISTORY");
    225235          }
    226        
     236
    227237        for (uint32_t i=0; i<_param._nb_branch_complete; i++)
    228238          {
     
    240250
    241251    // =====[ component_Two_Level_Branch_Predictor_Glue - Instanciation ]=
     252    cout << "GEU 3" << endl;
    242253#ifdef POSITION
    243254        _component->interface_map (_name+"_Two_Level_Branch_Predictor_Glue","",
     
    247258        _component->port_map(_name+"_Two_Level_Branch_Predictor_Glue", "in_NRESET", _name,"in_NRESET");
    248259
     260        cout << "GEU 3.1" << endl;
    249261        for (uint32_t i=0; i<_param._nb_prediction; i++)
    250262          {
     263            cout << "GEU 3.1.1" << endl;
    251264        if (_param._have_bht)
    252265          {
    253         _component->port_map(_name+"_Two_Level_Branch_Predictor_Glue",  "in_PREDICT_"+toString(i)+"_BHT_ACK"    , _name+"_Branch_History_Table" , "out_PREDICT_"+toString(i)+"_BHT_ACK"    );
    254         _component->port_map(_name+"_Two_Level_Branch_Predictor_Glue", "out_PREDICT_"+toString(i)+"_BHT_ADDRESS", _name+"_Branch_History_Table" ,  "in_PREDICT_"+toString(i)+"_BHT_ADDRESS");
    255           }
     266        _component->port_map(_name+"_Two_Level_Branch_Predictor_Glue",  "in_PREDICT_"+toString(i)+"_BHT_ACK"    , _name+"_Branch_History_Table" , "out_PREDICT_"+toString(i)+"_ACK"    );
     267        _component->port_map(_name+"_Two_Level_Branch_Predictor_Glue", "out_PREDICT_"+toString(i)+"_BHT_ADDRESS", _name+"_Branch_History_Table" ,  "in_PREDICT_"+toString(i)+"_ADDRESS");
     268          }
     269        cout << "GEU 3.1.2" << endl;
    256270        if (_param._have_pht)
    257271          {
    258         _component->port_map(_name+"_Two_Level_Branch_Predictor_Glue",  "in_PREDICT_"+toString(i)+"_PHT_ACK"    , _name+"_Pattern_History_Table", "out_PREDICT_"+toString(i)+"_PHT_ACK"    );
    259         _component->port_map(_name+"_Two_Level_Branch_Predictor_Glue", "out_PREDICT_"+toString(i)+"_PHT_ADDRESS", _name+"_Pattern_History_Table",  "in_PREDICT_"+toString(i)+"_PHT_ADDRESS");
    260           }
     272        _component->port_map(_name+"_Two_Level_Branch_Predictor_Glue",  "in_PREDICT_"+toString(i)+"_PHT_ACK"    , _name+"_Pattern_History_Table", "out_PREDICT_"+toString(i)+"_ACK"    );
     273        _component->port_map(_name+"_Two_Level_Branch_Predictor_Glue", "out_PREDICT_"+toString(i)+"_PHT_ADDRESS", _name+"_Pattern_History_Table",  "in_PREDICT_"+toString(i)+"_ADDRESS");
     274          }
     275        cout << "GEU 3.1.3" << endl;
    261276        if (_param._have_bht and _param._have_pht)
    262         _component->port_map(_name+"_Two_Level_Branch_Predictor_Glue", "in_PREDICT_"+toString(i)+"_BHT_HISTORY" , _name                         , "in_PREDICT_"+toString(i)+"_BHT_HISTORY");
     277        _component->port_map(_name+"_Two_Level_Branch_Predictor_Glue", "in_PREDICT_"+toString(i)+"_BHT_HISTORY" , _name                         ,"out_PREDICT_"+toString(i)+"_BHT_HISTORY");
     278        cout << "GEU 3.1.4.1" << endl;
    263279        _component->port_map(_name+"_Two_Level_Branch_Predictor_Glue","out_PREDICT_"+toString(i)+"_ACK"         , _name                         ,"out_PREDICT_"+toString(i)+"_ACK");
    264280        _component->port_map(_name+"_Two_Level_Branch_Predictor_Glue", "in_PREDICT_"+toString(i)+"_ADDRESS"     , _name                         , "in_PREDICT_"+toString(i)+"_ADDRESS");
    265281          }
    266282   
     283        cout << "GEU 3.2" << endl;
    267284    for (uint32_t i=0; i<_param._nb_branch_complete; i++)
    268285      {
    269286        if (_param._have_bht)
    270287          {
    271         _component->port_map(_name+"_Two_Level_Branch_Predictor_Glue",  "in_BRANCH_COMPLETE_"+toString(i)+"_BHT_ACK"    , _name+"_Branch_History_Table" , "out_BRANCH_COMPLETE_"+toString(i)+"_BHT_ACK"    );
    272         _component->port_map(_name+"_Two_Level_Branch_Predictor_Glue", "out_BRANCH_COMPLETE_"+toString(i)+"_BHT_ADDRESS", _name+"_Branch_History_Table" ,  "in_BRANCH_COMPLETE_"+toString(i)+"_BHT_ADDRESS");
     288        _component->port_map(_name+"_Two_Level_Branch_Predictor_Glue",  "in_BRANCH_COMPLETE_"+toString(i)+"_BHT_ACK"    , _name+"_Branch_History_Table" , "out_BRANCH_COMPLETE_"+toString(i)+"_ACK"    );
     289        _component->port_map(_name+"_Two_Level_Branch_Predictor_Glue", "out_BRANCH_COMPLETE_"+toString(i)+"_BHT_ADDRESS", _name+"_Branch_History_Table" ,  "in_BRANCH_COMPLETE_"+toString(i)+"_ADDRESS");
    273290          }
    274291        if (_param._have_pht)
    275292          {
    276         _component->port_map(_name+"_Two_Level_Branch_Predictor_Glue",  "in_BRANCH_COMPLETE_"+toString(i)+"_PHT_ACK"    , _name+"_Pattern_History_Table", "out_BRANCH_COMPLETE_"+toString(i)+"_PHT_ACK"    );
    277         _component->port_map(_name+"_Two_Level_Branch_Predictor_Glue", "out_BRANCH_COMPLETE_"+toString(i)+"_PHT_ADDRESS", _name+"_Pattern_History_Table",  "in_BRANCH_COMPLETE_"+toString(i)+"_PHT_ADDRESS");
     293        _component->port_map(_name+"_Two_Level_Branch_Predictor_Glue",  "in_BRANCH_COMPLETE_"+toString(i)+"_PHT_ACK"    , _name+"_Pattern_History_Table", "out_BRANCH_COMPLETE_"+toString(i)+"_ACK"    );
     294        _component->port_map(_name+"_Two_Level_Branch_Predictor_Glue", "out_BRANCH_COMPLETE_"+toString(i)+"_PHT_ADDRESS", _name+"_Pattern_History_Table",  "in_BRANCH_COMPLETE_"+toString(i)+"_ADDRESS");
    278295          }
    279296        if (_param._have_bht and _param._have_pht)
     
    282299        _component->port_map(_name+"_Two_Level_Branch_Predictor_Glue", "in_BRANCH_COMPLETE_"+toString(i)+"_ADDRESS"     , _name                         , "in_BRANCH_COMPLETE_"+toString(i)+"_ADDRESS");
    283300      }
    284  
     301    cout << "GEU 4" << endl; 
    285302#ifdef POSITION
    286303    _component->generate_file();
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/src/Two_Level_Branch_Predictor_vhdl.cpp

    r42 r43  
    2121  {
    2222    log_printf(FUNC,Two_Level_Branch_Predictor,"vhdl","Begin");
     23
    2324    Vhdl * vhdl = new Vhdl (_name);
    2425
    25     vhdl->set_library_work (_name + "_Pack");
    26     if (_param._have_bht)
    27     vhdl->set_library_work (_name + "_Branch_History_Table_Pack");
    28     if (_param._have_pht)
    29     vhdl->set_library_work (_name + "_Pattern_History_Table_Pack");
    30     vhdl->set_library_work (_name + "_Two_Level_Branch_Predictor_Glue_Pack");
    31    
    32     vhdl_port        (vhdl);
     26    _interfaces->set_port     (vhdl);
     27    _component ->vhdl_instance(vhdl);
     28
    3329    vhdl_declaration (vhdl);
    3430    vhdl_body        (vhdl);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Stage_1_Ifetch/Predictor/Meta_Predictor/Two_Level_Branch_Predictor/src/Two_Level_Branch_Predictor_vhdl_body.cpp

    r42 r43  
    2121    log_printf(FUNC,Two_Level_Branch_Predictor,"vhdl_body","Begin");
    2222
    23     list<string> list_port_map;
     23//     list<string> list_port_map;
    2424
    25     if (_param._have_bht)
    26       {
    27         list_port_map.clear();
     25//     if (_param._have_bht)
     26//       {
     27//      list_port_map.clear();
    2828
    29         vhdl.set_body_component_port_map (list_port_map,"in_CLOCK ","in_CLOCK ");
    30         vhdl.set_body_component_port_map (list_port_map,"in_NRESET","in_NRESET");
     29//      vhdl.set_body_component_port_map (list_port_map,"in_CLOCK ","in_CLOCK ");
     30//      vhdl.set_body_component_port_map (list_port_map,"in_NRESET","in_NRESET");
    3131
    32         for (uint32_t i=0; i<_param._nb_prediction; i++)
    33           {
    34             vhdl.set_body_component_port_map (list_port_map," in_PREDICT_VAL_"+toString(i)+"              ","    in_PREDICT_VAL_"+toString(i));
    35             vhdl.set_body_component_port_map (list_port_map,"out_PREDICT_ACK_"+toString(i)+"              ","signal_PREDICT_BHT_ACK_"+toString(i));
    36             vhdl.set_body_component_port_map (list_port_map," in_PREDICT_ADDRESS_"+toString(i)+"          ","signal_PREDICT_BHT_ADDRESS_"+toString(i));
    37             vhdl.set_body_component_port_map (list_port_map,"out_PREDICT_HISTORY_"+toString(i)+"          ","signal_PREDICT_BHT_HISTORY_"+toString(i));
    38           }
     32//      for (uint32_t i=0; i<_param._nb_prediction; i++)
     33//        {
     34//          vhdl.set_body_component_port_map (list_port_map," in_PREDICT_VAL_"+toString(i)+"              ","    in_PREDICT_VAL_"+toString(i));
     35//          vhdl.set_body_component_port_map (list_port_map,"out_PREDICT_ACK_"+toString(i)+"              ","signal_PREDICT_BHT_ACK_"+toString(i));
     36//          vhdl.set_body_component_port_map (list_port_map," in_PREDICT_ADDRESS_"+toString(i)+"          ","signal_PREDICT_BHT_ADDRESS_"+toString(i));
     37//          vhdl.set_body_component_port_map (list_port_map,"out_PREDICT_HISTORY_"+toString(i)+"          ","signal_PREDICT_BHT_HISTORY_"+toString(i));
     38//        }
    3939       
    40         for (uint32_t i=0; i<_param._nb_branch_complete; i++)
    41           {
    42             vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_VAL_"+toString(i)+"      ","    in_BRANCH_COMPLETE_VAL_"+toString(i));
    43             vhdl.set_body_component_port_map (list_port_map,"out_BRANCH_COMPLETE_ACK_"+toString(i)+"      ","signal_BRANCH_COMPLETE_BHT_ACK_"+toString(i));
    44             vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_ADDRESS_"+toString(i)+"  ","signal_BRANCH_COMPLETE_BHT_ADDRESS_"+toString(i));
    45             vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_HISTORY_"+toString(i)+"  ","    in_BRANCH_COMPLETE_BHT_HISTORY_"+toString(i));
    46             vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_DIRECTION_"+toString(i)+"","    in_BRANCH_COMPLETE_DIRECTION_"+toString(i));
    47           }
     40//      for (uint32_t i=0; i<_param._nb_branch_complete; i++)
     41//        {
     42//          vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_VAL_"+toString(i)+"      ","    in_BRANCH_COMPLETE_VAL_"+toString(i));
     43//          vhdl.set_body_component_port_map (list_port_map,"out_BRANCH_COMPLETE_ACK_"+toString(i)+"      ","signal_BRANCH_COMPLETE_BHT_ACK_"+toString(i));
     44//          vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_ADDRESS_"+toString(i)+"  ","signal_BRANCH_COMPLETE_BHT_ADDRESS_"+toString(i));
     45//          vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_HISTORY_"+toString(i)+"  ","    in_BRANCH_COMPLETE_BHT_HISTORY_"+toString(i));
     46//          vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_DIRECTION_"+toString(i)+"","    in_BRANCH_COMPLETE_DIRECTION_"+toString(i));
     47//        }
    4848       
    49         vhdl.set_body_component ("component_Branch_History_Table",_name+"_Branch_History_Table",list_port_map);
    50       }
     49//      vhdl.set_body_component ("component_Branch_History_Table",_name+"_Branch_History_Table",list_port_map);
     50//       }
    5151
    52     if (_param._have_pht)
    53       {
    54         list_port_map.clear();
     52//     if (_param._have_pht)
     53//       {
     54//      list_port_map.clear();
    5555
    56         vhdl.set_body_component_port_map (list_port_map,"in_CLOCK ","in_CLOCK ");
    57         vhdl.set_body_component_port_map (list_port_map,"in_NRESET","in_NRESET");
     56//      vhdl.set_body_component_port_map (list_port_map,"in_CLOCK ","in_CLOCK ");
     57//      vhdl.set_body_component_port_map (list_port_map,"in_NRESET","in_NRESET");
    5858
    59         for (uint32_t i=0; i<_param._nb_prediction; i++)
    60           {
    61             vhdl.set_body_component_port_map (list_port_map," in_PREDICT_VAL_"+toString(i)+"              ","    in_PREDICT_VAL_"+toString(i));
    62             vhdl.set_body_component_port_map (list_port_map,"out_PREDICT_ACK_"+toString(i)+"              ","signal_PREDICT_PHT_ACK_"+toString(i));
    63             vhdl.set_body_component_port_map (list_port_map," in_PREDICT_ADDRESS_"+toString(i)+"          ","signal_PREDICT_PHT_ADDRESS_"+toString(i));
    64             vhdl.set_body_component_port_map (list_port_map,"out_PREDICT_HISTORY_"+toString(i)+"          ","   out_PREDICT_PHT_HISTORY_"+toString(i));
    65           }
     59//      for (uint32_t i=0; i<_param._nb_prediction; i++)
     60//        {
     61//          vhdl.set_body_component_port_map (list_port_map," in_PREDICT_VAL_"+toString(i)+"              ","    in_PREDICT_VAL_"+toString(i));
     62//          vhdl.set_body_component_port_map (list_port_map,"out_PREDICT_ACK_"+toString(i)+"              ","signal_PREDICT_PHT_ACK_"+toString(i));
     63//          vhdl.set_body_component_port_map (list_port_map," in_PREDICT_ADDRESS_"+toString(i)+"          ","signal_PREDICT_PHT_ADDRESS_"+toString(i));
     64//          vhdl.set_body_component_port_map (list_port_map,"out_PREDICT_HISTORY_"+toString(i)+"          ","   out_PREDICT_PHT_HISTORY_"+toString(i));
     65//        }
    6666       
    67         for (uint32_t i=0; i<_param._nb_branch_complete; i++)
    68           {
    69             vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_VAL_"+toString(i)+"      ","    in_BRANCH_COMPLETE_VAL_"+toString(i));
    70             vhdl.set_body_component_port_map (list_port_map,"out_BRANCH_COMPLETE_ACK_"+toString(i)+"      ","signal_BRANCH_COMPLETE_PHT_ACK_"+toString(i));
    71             vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_ADDRESS_"+toString(i)+"  ","signal_BRANCH_COMPLETE_PHT_ADDRESS_"+toString(i));
    72             vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_HISTORY_"+toString(i)+"  ","    in_BRANCH_COMPLETE_PHT_HISTORY_"+toString(i));
    73             vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_DIRECTION_"+toString(i)+"","    in_BRANCH_COMPLETE_DIRECTION_"+toString(i));
    74           }
     67//      for (uint32_t i=0; i<_param._nb_branch_complete; i++)
     68//        {
     69//          vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_VAL_"+toString(i)+"      ","    in_BRANCH_COMPLETE_VAL_"+toString(i));
     70//          vhdl.set_body_component_port_map (list_port_map,"out_BRANCH_COMPLETE_ACK_"+toString(i)+"      ","signal_BRANCH_COMPLETE_PHT_ACK_"+toString(i));
     71//          vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_ADDRESS_"+toString(i)+"  ","signal_BRANCH_COMPLETE_PHT_ADDRESS_"+toString(i));
     72//          vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_HISTORY_"+toString(i)+"  ","    in_BRANCH_COMPLETE_PHT_HISTORY_"+toString(i));
     73//          vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_DIRECTION_"+toString(i)+"","    in_BRANCH_COMPLETE_DIRECTION_"+toString(i));
     74//        }
    7575       
    76         vhdl.set_body_component ("component_Pattern_History_Table",_name+"_Pattern_History_Table",list_port_map);
    77       }
     76//      vhdl.set_body_component ("component_Pattern_History_Table",_name+"_Pattern_History_Table",list_port_map);
     77//       }
    7878
    79     list_port_map.clear();
     79//     list_port_map.clear();
    8080   
    81     for (uint32_t i=0; i<_param._nb_prediction; i++)
    82       {
    83         if (_param._have_bht)
    84           {
    85         vhdl.set_body_component_port_map (list_port_map," in_PREDICT_BHT_ACK_"+toString(i)+"            ","signal_PREDICT_BHT_ACK_"+toString(i));
    86         vhdl.set_body_component_port_map (list_port_map,"out_PREDICT_BHT_ADDRESS_"+toString(i)+"        ","signal_PREDICT_BHT_ADDRESS_"+toString(i));
    87           }                                                                                                                                                                       
    88         if (_param._have_pht)                                                                                                                     
    89           {                                                                                                                                                                       
    90         vhdl.set_body_component_port_map (list_port_map," in_PREDICT_PHT_ACK_"+toString(i)+"            ","signal_PREDICT_PHT_ACK_"+toString(i));
    91         vhdl.set_body_component_port_map (list_port_map,"out_PREDICT_PHT_ADDRESS_"+toString(i)+"        ","signal_PREDICT_PHT_ADDRESS_"+toString(i));
    92           }                                                                                                                                                                       
    93         if (_param._have_bht and _param._have_pht)                                                                                       
    94           {                                                                                                                                                                       
    95         vhdl.set_body_component_port_map (list_port_map," in_PREDICT_BHT_HISTORY_"+toString(i)+"        ","signal_PREDICT_BHT_HISTORY_"+toString(i));
    96           }                                                                                                                                                                       
    97         vhdl.set_body_component_port_map (list_port_map,"out_PREDICT_ACK_"+toString(i)+"                ","   out_PREDICT_ACK_"+toString(i));
    98         vhdl.set_body_component_port_map (list_port_map," in_PREDICT_ADDRESS_"+toString(i)+"            ","    in_PREDICT_ADDRESS_"+toString(i));
    99       }
     81//     for (uint32_t i=0; i<_param._nb_prediction; i++)
     82//       {
     83//      if (_param._have_bht)
     84//        {
     85//      vhdl.set_body_component_port_map (list_port_map," in_PREDICT_BHT_ACK_"+toString(i)+"            ","signal_PREDICT_BHT_ACK_"+toString(i));
     86//      vhdl.set_body_component_port_map (list_port_map,"out_PREDICT_BHT_ADDRESS_"+toString(i)+"        ","signal_PREDICT_BHT_ADDRESS_"+toString(i));
     87//        }                                                                                                                                                                       
     88//      if (_param._have_pht)                                                                                                                     
     89//        {                                                                                                                                                                       
     90//      vhdl.set_body_component_port_map (list_port_map," in_PREDICT_PHT_ACK_"+toString(i)+"            ","signal_PREDICT_PHT_ACK_"+toString(i));
     91//      vhdl.set_body_component_port_map (list_port_map,"out_PREDICT_PHT_ADDRESS_"+toString(i)+"        ","signal_PREDICT_PHT_ADDRESS_"+toString(i));
     92//        }                                                                                                                                                                       
     93//      if (_param._have_bht and _param._have_pht)                                                                                       
     94//        {                                                                                                                                                                       
     95//      vhdl.set_body_component_port_map (list_port_map," in_PREDICT_BHT_HISTORY_"+toString(i)+"        ","signal_PREDICT_BHT_HISTORY_"+toString(i));
     96//        }                                                                                                                                                                       
     97//      vhdl.set_body_component_port_map (list_port_map,"out_PREDICT_ACK_"+toString(i)+"                ","   out_PREDICT_ACK_"+toString(i));
     98//      vhdl.set_body_component_port_map (list_port_map," in_PREDICT_ADDRESS_"+toString(i)+"            ","    in_PREDICT_ADDRESS_"+toString(i));
     99//       }
    100100   
    101     for (uint32_t i=0; i<_param._nb_branch_complete; i++)
    102       {
    103         if (_param._have_bht)
    104           {
    105         vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_BHT_ACK_"+toString(i)+"    ","signal_BRANCH_COMPLETE_BHT_ACK_"+toString(i));
    106         vhdl.set_body_component_port_map (list_port_map,"out_BRANCH_COMPLETE_BHT_ADDRESS_"+toString(i)+"","signal_BRANCH_COMPLETE_BHT_ADDRESS_"+toString(i));
    107           }                                                                                                                                                       
    108         if (_param._have_pht)
    109           {
    110         vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_PHT_ACK_"+toString(i)+"    ","signal_BRANCH_COMPLETE_PHT_ACK_"+toString(i));
    111         vhdl.set_body_component_port_map (list_port_map,"out_BRANCH_COMPLETE_PHT_ADDRESS_"+toString(i)+"","signal_BRANCH_COMPLETE_PHT_ADDRESS_"+toString(i));
    112           }                                                                                                                                                       
    113         if (_param._have_bht and _param._have_pht)
    114           {
    115         vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_BHT_HISTORY_"+toString(i)+"","    in_BRANCH_COMPLETE_BHT_HISTORY_"+toString(i));
    116           }                                                                                                                                                       
    117         vhdl.set_body_component_port_map (list_port_map,"out_BRANCH_COMPLETE_ACK_"+toString(i)+"        ","   out_BRANCH_COMPLETE_ACK_"+toString(i));
    118         vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_ADDRESS_"+toString(i)+"    ","    in_BRANCH_COMPLETE_ADDRESS_"+toString(i));
    119       }
     101//     for (uint32_t i=0; i<_param._nb_branch_complete; i++)
     102//       {
     103//      if (_param._have_bht)
     104//        {
     105//      vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_BHT_ACK_"+toString(i)+"    ","signal_BRANCH_COMPLETE_BHT_ACK_"+toString(i));
     106//      vhdl.set_body_component_port_map (list_port_map,"out_BRANCH_COMPLETE_BHT_ADDRESS_"+toString(i)+"","signal_BRANCH_COMPLETE_BHT_ADDRESS_"+toString(i));
     107//        }                                                                                                                                                       
     108//      if (_param._have_pht)
     109//        {
     110//      vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_PHT_ACK_"+toString(i)+"    ","signal_BRANCH_COMPLETE_PHT_ACK_"+toString(i));
     111//      vhdl.set_body_component_port_map (list_port_map,"out_BRANCH_COMPLETE_PHT_ADDRESS_"+toString(i)+"","signal_BRANCH_COMPLETE_PHT_ADDRESS_"+toString(i));
     112//        }                                                                                                                                                       
     113//      if (_param._have_bht and _param._have_pht)
     114//        {
     115//         vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_BHT_HISTORY_"+toString(i)+"","    in_BRANCH_COMPLETE_BHT_HISTORY_"+toString(i));
     116//        }                                                                                                                                                       
     117//      vhdl.set_body_component_port_map (list_port_map,"out_BRANCH_COMPLETE_ACK_"+toString(i)+"        ","   out_BRANCH_COMPLETE_ACK_"+toString(i));
     118//      vhdl.set_body_component_port_map (list_port_map," in_BRANCH_COMPLETE_ADDRESS_"+toString(i)+"    ","    in_BRANCH_COMPLETE_ADDRESS_"+toString(i));
     119//       }
    120120
    121     vhdl.set_body_component ("component_Two_Level_Branch_Predictor_Glue",_name+"_Two_Level_Branch_Predictor_Glue",list_port_map);
     121//     vhdl.set_body_component ("component_Two_Level_Branch_Predictor_Glue",_name+"_Two_Level_Branch_Predictor_Glue",list_port_map);
    122122
    123     if (_param._have_bht)
    124       for (uint32_t i=0; i<_param._nb_prediction; i++)
    125         vhdl.set_body("out_PREDICT_BHT_HISTORY_"+toString(i)+" <= signal_PREDICT_BHT_HISTORY_"+toString(i)+";");
     123//     if (_param._have_bht)
     124//       for (uint32_t i=0; i<_param._nb_prediction; i++)
     125//      vhdl.set_body("out_PREDICT_BHT_HISTORY_"+toString(i)+" <= signal_PREDICT_BHT_HISTORY_"+toString(i)+";");
    126126   
    127127    log_printf(FUNC,Two_Level_Branch_Predictor,"vhdl_body","End");
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/include/Parameters.h

    r15 r43  
    1616#include "Include/ErrorMorpheo.h"
    1717#include "Include/ToString.h"
     18#include "Include/Debug.h"
    1819
    1920using namespace morpheo::behavioural::constant;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/include/XML.h

    r31 r43  
    1414#include <list>
    1515#include "Include/ToString.h"
     16#include "Include/Debug.h"
    1617
    1718using namespace std;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component.cpp

    r42 r43  
    1313  Component::Component  (void)
    1414  {
    15     log_printf(FUNC,Behavioural,"Component","Begin");
     15    log_printf(FUNC,Behavioural,"Component::Component","Begin");
    1616    _list_component = new list<Entity *>;
    17     log_printf(FUNC,Behavioural,"Component","End");
     17    log_printf(FUNC,Behavioural,"Component::Component","End");
    1818  };
    1919
    2020  Component::Component  (const Component & component)
    2121  {
    22     log_printf(FUNC,Behavioural,"Component (copy)","Begin");
     22    log_printf(FUNC,Behavioural,"Component::Component (copy)","Begin");
    2323    _entity         = component._entity        ;
    2424    _list_component = component._list_component;
    25     log_printf(FUNC,Behavioural,"Component (copy)","End");
     25    log_printf(FUNC,Behavioural,"Component::Component (copy)","End");
    2626  };
    2727 
    2828  Component::~Component ()
    2929  {
    30     log_printf(FUNC,Behavioural,"~Component","Begin");
     30    log_printf(FUNC,Behavioural,"Component::~Component","Begin");
    3131    delete _entity;
    3232    delete _list_component;
    33     log_printf(FUNC,Behavioural,"~Component","End");
     33    log_printf(FUNC,Behavioural,"Component::~Component","End");
    3434  };
    3535 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_find_entity.cpp

    r42 r43  
    1313  Entity * Component::find_entity (string name)
    1414  {
     15    log_printf(FUNC,Behavioural,"Component::find_entity", "Begin");
     16
     17    Entity * _return = NULL;
    1518
    1619    if (_entity->get_name() == name)
    17       return _entity;
     20      _return = _entity;
    1821    else
    1922      if (_list_component->empty()== false)
     
    2528              if ((*i)->get_name() == name)
    2629                {
    27                   return *i;
     30                  _return = *i;
    2831                  break;
    2932                }
     
    3235        }
    3336
    34     return NULL;
     37    log_printf(FUNC,Behavioural,"Component::find_entity", "End");
     38
     39    return _return;
    3540  };
    3641
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_generate_file.cpp

    r42 r43  
    1414  void Component::generate_file (void)
    1515  {
     16    log_printf(FUNC,Behavioural,"Component::generate_file","Begin");
     17
    1618    try
    1719      {
     
    2729        throw (ErrorMorpheo ("Component \""+_entity->get_name()+"\" have a bad mapping : "+e.what()));
    2830      }
     31
     32    log_printf(FUNC,Behavioural,"Component::generate_file","End");
    2933  };
    3034
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_get_component.cpp

    r42 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "Component::get_component"
     15
    1316  string Component::get_component (void)
    1417  {
     18    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     19
    1520    uint32_t               depth          = 0;
    1621    string                 separator      = "\n";
     
    4348      }
    4449
     50    log_printf(FUNC,Behavioural,FUNCTION,"End");
     51
    4552    return text.str();
    4653  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_get_entity.cpp

    r42 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "Component::get_entity"
    1315  string Component::get_entity (void)
    1416  {
     17    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     18
    1519    ostringstream text;
    1620    text << *(_entity);
     21
     22    log_printf(FUNC,Behavioural,FUNCTION,"End");
     23
    1724    return text.str();
    1825  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_interface_map.cpp

    r42 r43  
    1212namespace behavioural          {
    1313
     14#undef  FUNCTION
     15#define FUNCTION "Component::interface_map"
    1416  void Component::interface_map (string component_src ,
    1517                                 string port_src      ,
     
    1719                                 string port_dest     )
    1820  {
     21    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     22
    1923    // First entity
    2024    Entity * entity_dest = find_entity(component_dest);
     
    5357      interface_src->interface_map(static_cast<void *>(entity_dest   ),
    5458                                   static_cast<void *>(interface_dest));
    55    
     59 
     60    log_printf(FUNC,Behavioural,FUNCTION,"End");
    5661  };
    5762
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_port_map.cpp

    r42 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "Component::port_map"
    1315  void Component::port_map (string component_src ,
    1416                            string port_src      ,
     
    1618                            string port_dest     )
    1719  {
     20    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     21
    1822    string name_entity = _entity->get_name();
    1923
     
    2226
    2327    if (entity_dest == NULL)
    24       throw (ErrorMorpheo ("Component \""+name_entity+"\", port map with unknow component \""+component_dest+"\"."));
     28      throw (ErrorMorpheo ("<Component::port_map> in component \""+name_entity+"\", port map with unknow component \""+component_dest+"\"."));
    2529
    2630    Signal * signal_dest = entity_dest->find_signal (port_dest);
    2731
    2832    if (signal_dest == NULL)
    29       throw (ErrorMorpheo ("Component \""+name_entity+"\", port map with component \""+component_dest+"\" and a unknow signal \""+port_dest+"\"."));
     33      throw (ErrorMorpheo ("<Component::port_map> in component \""+name_entity+"\", port map with component \""+component_dest+"\" and a unknow signal \""+port_dest+"\"."));
    3034
    3135    // Second entity
     
    3337
    3438    if (entity_src == NULL)
    35       throw (ErrorMorpheo ("Component \""+name_entity+"\", port map with unknow component \""+component_src+"\"."));
     39      throw (ErrorMorpheo ("<Component::port_map> in component \""+name_entity+"\", port map with unknow component \""+component_src+"\"."));
    3640
    3741    Signal * signal_src = entity_src->find_signal (port_src);
    3842
    3943    if (signal_src == NULL)
    40       throw (ErrorMorpheo ("Component \""+name_entity+"\", port map with component \""+component_src+"\" and a unknow signal \""+port_src+"\"."));
     44      throw (ErrorMorpheo ("<Component::port_map> in component \""+name_entity+"\", port map with component \""+component_src+"\" and a unknow signal \""+port_src+"\"."));
    4145
    4246    // If all is ok, mapping
     47   
     48    //cout << " - " << entity_src->get_name() << "("<< signal_src->get_name() << ")" << "\t-> " << entity_dest->get_name() << "(" << signal_dest->get_name() << ")" << endl;
     49
    4350    signal_src ->link   (signal_dest);
    44     signal_dest->mapping(signal_src );
     51    //signal_dest->mapping(signal_src );
     52   
     53    log_printf(FUNC,Behavioural,FUNCTION,"End");
    4554  };
    4655
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_print.cpp

    r42 r43  
    1212namespace behavioural          {
    1313
     14#undef  FUNCTION
     15#define FUNCTION "Component::operator<<"
    1416  ostream& operator<< (ostream& output_stream,
    1517                       morpheo::behavioural::Component & x)
    1618  {
     19    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     20
    1721    output_stream << x.get_entity    () << endl;
    1822    output_stream << x.get_component () << endl;
     23
     24    log_printf(FUNC,Behavioural,FUNCTION,"End");
     25   
    1926    return output_stream;
    2027  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_set_component.cpp

    r42 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "Component::set_component"
    1315  void Component::set_component (Component * component
    1416#ifdef POSITION
     
    2022                                 )
    2123  {
     24    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     25
    2226    Entity * entity = component->_entity;
    2327
     
    2731    entity->mapping( pos_x, pos_y, size_x, size_y);
    2832#endif
     33
     34    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2935  };
    3036
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_set_entity.cpp

    r42 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "Component::set_entity"
    1315  Entity * Component::set_entity (string        name   
    1416                                  ,string        type   
     
    1820                                  )
    1921  {
     22    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     23   
    2024    Entity * entity = new Entity (name   
    2125                                  ,type   
     
    2630    _entity = entity;
    2731   
     32    log_printf(FUNC,Behavioural,FUNCTION,"End");
     33
    2834    return entity;
    2935  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_toXML.cpp

    r42 r43  
    1212namespace behavioural          {
    1313
     14#undef  FUNCTION
     15#define FUNCTION "Component::toXML"
     16
    1417  XML Component::toXML (void)
    1518  {
     19    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     20
    1621    XML xml (_entity->get_name());
    1722   
     
    3338    xml.  balise_close      ();
    3439    xml.balise_close        ();
    35    
     40 
     41    log_printf(FUNC,Behavioural,FUNCTION,"End");
     42 
    3643    return xml;
    3744  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Component_vhdl_instance.cpp

    r42 r43  
    1212namespace behavioural          {
    1313
     14#undef  FUNCTION
     15#define FUNCTION "Component::vhdl_instance"
    1416  void Component::vhdl_instance (Vhdl * & vhdl)
    1517  {
     18    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     19
    1620    uint32_t             cpt = 0;
    1721    map<Signal *,string> tab;
     
    8589          }
    8690      }
     91    log_printf(FUNC,Behavioural,FUNCTION,"End");
    8792  };
    8893
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Configuration_Parameters.cpp

    r3 r43  
    1212namespace behavioural          {
    1313   
     14#undef  FUNCTION
     15#define FUNCTION "Configuration_Parameters::Configuration_Parameters"
    1416  Configuration_Parameters::Configuration_Parameters  (string   name   ,
    1517                                                       uint32_t value  ,
     
    2931    _comment (comment      )
    3032  {
     33    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    3134    if ((value<min) or (value>max))
    3235      throw (ErrorMorpheo ("Parameters \""+name+"\" is out of bound : "+toString(value)+" not include in ["+toString(min)+":"+toString(max)+"]"));
     36    log_printf(FUNC,Behavioural,FUNCTION,"End");
    3337  };
    3438   
     39
     40#undef  FUNCTION
     41#define FUNCTION "Configuration_Parameters::~Configuration_Parameters"
    3542  Configuration_Parameters::~Configuration_Parameters ()
    3643  {
     44    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     45    log_printf(FUNC,Behavioural,FUNCTION,"End");
    3746  };
    3847
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Configuration_Parameters_print.cpp

    r3 r43  
    1313namespace behavioural          {
    1414
     15#undef  FUNCTION
     16#define FUNCTION "Configuration_Parameters::print"
    1517  string Configuration_Parameters::print (uint32_t depth)
    1618  {
     19    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1720    XML * xml = new XML (_name);
    1821   
     
    2932    xml->balise_close();
    3033   
     34    log_printf(FUNC,Behavioural,FUNCTION,"End");
    3135    return xml->get_body(depth);
    3236  };
    3337 
     38#undef  FUNCTION
     39#define FUNCTION "Configuration_Parameters::operator<<"
    3440  ostream& operator<< (ostream& output_stream,
    3541                       morpheo::behavioural::Configuration_Parameters & x)
    3642  {
     43    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    3744    output_stream << x.print(0);
    38 
     45    log_printf(FUNC,Behavioural,FUNCTION,"End");
    3946    return output_stream;
    4047  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_find_interface.cpp

    r42 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "Entity::find_interface"
    1315  Interface * Entity::find_interface (string name)
    1416  {
    15     return _interfaces->find_interface(name);
     17    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     18   
     19    Interface* _return = _interfaces->find_interface(name);
     20
     21    log_printf(FUNC,Behavioural,FUNCTION,"End");
     22
     23    return _return;
    1624  };
    1725
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_find_signal.cpp

    r42 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "Entity::find_signal"
    1315  Signal * Entity::find_signal (string name)
    1416  {
    15     return _interfaces->find_signal(name);
     17    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     18
     19    Signal * _return = _interfaces->find_signal(name);
     20
     21    log_printf(FUNC,Behavioural,FUNCTION,"End");
     22
     23    return _return;
    1624  };
    1725
     26#undef  FUNCTION
     27#define FUNCTION "Entity::find_signal"
    1828  bool Entity::find_signal (Signal * signal)
    1929  {
    20     return _interfaces->find_signal(signal);
     30    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     31
     32    bool _return = _interfaces->find_signal(signal);
     33
     34    log_printf(FUNC,Behavioural,FUNCTION,"End");
     35
     36    return _return;
    2137  };
    2238
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_get_comment.cpp

    r31 r43  
    1313namespace behavioural          {
    1414
     15#undef  FUNCTION
     16#define FUNCTION "Entity::get_comment"
    1517  string Entity::get_comment (void)
    1618  {
    17     return _comment;
     19    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     20    string _return = _comment;
     21    log_printf(FUNC,Behavioural,FUNCTION,"End");
     22    return _return;
    1823  };
    1924
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_get_interfaces.cpp

    r42 r43  
    1212namespace behavioural          {
    1313
     14#undef  FUNCTION
     15#define FUNCTION "Entity::get_interfaces"
    1416  string Entity::get_interfaces (void)
    1517  {
     18    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1619    ostringstream text;
    1720    text << *(_interfaces);
     21    log_printf(FUNC,Behavioural,FUNCTION,"End");
    1822    return text.str();
    1923  };
    2024
     25#undef  FUNCTION
     26#define FUNCTION "Entity::get_interfaces_list"
    2127  Interfaces * Entity::get_interfaces_list (void)
    2228  {
    23     return _interfaces;
     29    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     30    Interfaces * _return = _interfaces;
     31    log_printf(FUNC,Behavioural,FUNCTION,"End");
     32    return _return;
    2433  };
    2534 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_get_name.cpp

    r42 r43  
    1212namespace behavioural          {
    1313
     14#undef  FUNCTION
     15#define FUNCTION "Entity::get_name"
    1416  string Entity::get_name (void)
    1517  {
    16     return _name;
     18    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     19    string _return = _name;
     20    log_printf(FUNC,Behavioural,FUNCTION,"End");
     21    return _return;
    1722  };
    1823
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_get_type.cpp

    r42 r43  
    1212namespace behavioural          {
    1313
     14#undef  FUNCTION
     15#define FUNCTION "Entity::get_type"
    1416  string Entity::get_type (void)
    1517  {
    16     return _type;
     18    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     19    string _return = _type;
     20    log_printf(FUNC,Behavioural,FUNCTION,"End");
     21
     22    return _return;
    1723  };
    1824
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_mapping.cpp

    r42 r43  
    1313namespace behavioural          {
    1414
     15#undef  FUNCTION
     16#define FUNCTION "Entity::mapping"
    1517  void Entity::mapping (uint32_t pos_x,
    1618                        uint32_t pos_y,
     
    1820                        uint32_t size_y )
    1921  {
     22    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     23
    2024    if (_is_map != false)
    2125      throw (ErrorMorpheo ("Entity \""+_name+"\" is already mapped"));
     
    2731    _map_pos_x  = pos_x ;
    2832    _map_pos_y  = pos_y ;
     33
     34    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2935  };
    3036
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_print.cpp

    r42 r43  
    1212namespace behavioural          {
    1313
     14#undef  FUNCTION
     15#define FUNCTION "Entity::operator<<"
    1416  ostream& operator<< (ostream& output_stream,
    1517                       morpheo::behavioural::Entity & x)
    1618  {
     19    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     20 
    1721#ifdef POSITION
    1822    output_stream << x._name + "\t"+x._type+"\t"+toString(x._schema) << endl;
     
    2226#endif
    2327    output_stream << x.get_interfaces() << endl;
     28
     29    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2430    return output_stream;
    2531  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_set_comment.cpp

    r31 r43  
    1313namespace behavioural          {
    1414
     15#undef  FUNCTION
     16#define FUNCTION "Entity::set_comment"
    1517  void Entity::set_comment (string comment)
    1618  {
     19    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1720    _comment = _comment + comment;
     21    log_printf(FUNC,Behavioural,FUNCTION,"End");
    1822  };
    1923
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_set_interfaces.cpp

    r42 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "Entity::set_interfaces"
    1315  Interfaces * Entity::set_interfaces (void)
    1416  {
    15     return _interfaces;
     17    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     18    Interfaces * _return = _interfaces;
     19    log_printf(FUNC,Behavioural,FUNCTION,"End");
     20    return _return;
    1621  };
    1722
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Entity_toXML.cpp

    r31 r43  
    1212namespace behavioural          {
    1313
     14#undef  FUNCTION
     15#define FUNCTION "Entity::toXML"
    1416  XML Entity::toXML (void)
    1517  {
     18    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     19   
    1620    XML xml (_name);
    1721   
     
    2731    xml.  balise_close      ();
    2832   
     33    log_printf(FUNC,Behavioural,FUNCTION,"End");
     34   
    2935    return xml;
    3036  };
    3137
     38#undef  FUNCTION
     39#define FUNCTION "Entity::toXML_mapping"
    3240  XML Entity::toXML_mapping (void)
    3341  {
     42    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     43   
    3444    if (_is_map != true)
    3545      throw (ErrorMorpheo ("Entity \""+_name+"\" is never mapped"));
     
    5666    xml.  balise_close      ();
    5767   
     68    log_printf(FUNC,Behavioural,FUNCTION,"End");
     69
    5870    return xml;
    5971  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_fifo_get_cycle.cpp

    r42 r43  
    1313namespace behavioural          {
    1414
     15#undef  FUNCTION
     16#define FUNCTION "Interface_fifo::get_cycle"
    1517  uint32_t Interface_fifo::get_cycle (void)
    1618  {
     19    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     20   
     21    uint32_t _return;
     22
    1723    if (_test_exhaustive == true)
    18       return Interface::get_cycle();
     24      _return = Interface::get_cycle();
     25    else
     26      if (_list_cycle->empty() == true)
     27        _return = 0;
     28      else
     29        _return = _list_cycle->back();
    1930
    20     if (_list_cycle->empty() == true)
    21       return 0;
    22     else
    23       return _list_cycle->back();
     31    log_printf(FUNC,Behavioural,FUNCTION,"End");
     32
     33    return _return;
    2434  };
    2535
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_get_clock.cpp

    r42 r43  
    1313namespace behavioural          {
    1414
     15#undef  FUNCTION
     16#define FUNCTION "Interface::"
    1517  Signal * Interface::get_clock (void)
    1618  {
     19    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     20
    1721    Signal * clock = NULL;
    1822   
     
    3539      }
    3640
     41    log_printf(FUNC,Behavioural,FUNCTION,"End");
    3742    return clock;
    3843  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_get_cycle.cpp

    r41 r43  
    1313namespace behavioural          {
    1414
     15#undef  FUNCTION
     16#define FUNCTION "Interface::get_cycle"
    1517  uint32_t Interface::get_cycle (void)
    1618  {
    17     return _nb_cycle;
     19    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     20    uint32_t _return = _nb_cycle;
     21    log_printf(FUNC,Behavioural,FUNCTION,"End");
     22    return _return;
    1823  };
    1924
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_get_reset.cpp

    r42 r43  
    1313namespace behavioural          {
    1414
     15#undef  FUNCTION
     16#define FUNCTION "Interface::get_reset"
    1517  Signal * Interface::get_reset (void)
    1618  {
     19    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     20
    1721    Signal * reset = NULL;
    1822   
     
    3539      }
    3640
     41    log_printf(FUNC,Behavioural,FUNCTION,"End");
     42
    3743    return reset;
    3844  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_get_signal.cpp

    r42 r43  
    5353#ifdef VHDL
    5454#  ifdef VHDL_TESTBENCH
     55
     56#undef  FUNCTION
     57#define FUNCTION "Interface::get_signal"
    5558  void Interface::get_signal (list<string> * & list_signal)
    5659  {
     60    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     61
    5762    if (not _list_signal->empty())
    5863      {
     
    6570          }
    6671      }
     72
     73    log_printf(FUNC,Behavioural,FUNCTION,"End");
    6774  };
    6875#  endif
    6976#endif
    7077
     78#undef  FUNCTION
     79#define FUNCTION "Interface::get_signal_list"
    7180  list<Signal *> * Interface::get_signal_list (void)
    7281    {
    73       return _list_signal;
     82      log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     83      list<Signal *> * _return = _list_signal;
     84      log_printf(FUNC,Behavioural,FUNCTION,"End");
     85
     86      return _return;
    7487    }
    7588
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interface_set_signal.cpp

    r42 r43  
    3131#ifdef VHDL
    3232#  ifdef VHDL_TESTBENCH
     33
     34#undef  FUNCTION
     35#define FUNCTION "Interface::set_signal"
    3336  void Interface::set_signal (Vhdl * & vhdl)
    3437  {
     38    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    3539    if (not _list_signal->empty())
    3640      {
     
    4347          }
    4448      }
     49    log_printf(FUNC,Behavioural,FUNCTION,"End");
    4550  };
    4651#  endif
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_find_interface.cpp

    r42 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "Interfaces::find_interface"
    1315  Interface_fifo * Interfaces::find_interface (string name)
    1416  {
     17    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     18
     19    Interface_fifo * _return = NULL;
    1520    if (_list_interface->empty()== false)
    1621      {
     
    2025          {
    2126            if ((*i)->get_name() == name)
    22               return (*i);
     27              {
     28                _return = (*i);
     29                break;
     30              }
    2331            ++i;
    2432          }
    2533      }
    26      
    27     return NULL;
     34   
     35    log_printf(FUNC,Behavioural,FUNCTION,"End");
     36
     37    return _return;
    2838  };
    2939
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_find_signal.cpp

    r42 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "Interfaces::find_signal"
    1315  Signal * Interfaces::find_signal (string name)
    1416  {
     17    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     18
     19    Signal * _return = NULL;
    1520    if (_list_interface->empty()== false)
    1621      {
     
    2328            // Warning : Name of signal is uniq
    2429            if (signal != NULL)
    25               return signal;
     30              {
     31                _return = signal;
     32                break;
     33              }
    2634
    2735            ++i;
     
    2937      }
    3038     
    31     return NULL;
     39    log_printf(FUNC,Behavioural,FUNCTION,"End");
     40    return _return;
    3241  };
    3342
     43#undef  FUNCTION
     44#define FUNCTION "Interfaces::find_signal"
    3445  bool Interfaces::find_signal (Signal * signal)
    3546  {
     47    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     48
     49    bool _return = false;
     50
    3651    if (_list_interface->empty()== false)
    3752      {
     
    4156          {
    4257            if ((*i)->find_signal(signal) == true)
    43               return true;
     58              {
     59                _return = true;
     60                break;
     61              }
    4462
    4563            ++i;
    4664          }
    4765      }
    48      
    49     return false;
     66 
     67    log_printf(FUNC,Behavioural,FUNCTION,"End");   
     68    return _return;
    5069  };
    5170
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_get_clock.cpp

    r42 r43  
    1313namespace behavioural          {
    1414 
     15#undef  FUNCTION
     16#define FUNCTION "Interfaces::get_clock"
    1517  Signal * Interfaces::get_clock (void)
    1618  {
     19    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     20
    1721    Signal * clock = NULL;
    1822   
     
    3741    if (clock == NULL)
    3842      throw ErrorMorpheo ("<Interfaces.get_clock> : no clock find.");
    39    
     43 
     44    log_printf(FUNC,Behavioural,FUNCTION,"Begin"); 
    4045    return clock;
    4146  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_get_cycle.cpp

    r41 r43  
    1313namespace behavioural          {
    1414
     15#undef  FUNCTION
     16#define FUNCTION "Interfaces::get_cycle"
    1517  uint32_t Interfaces::get_cycle (void)
    1618  {
     19    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1720    uint32_t cycle = 0;
    1821   
     
    3134          }
    3235      }
    33    
     36    log_printf(FUNC,Behavioural,FUNCTION,"End");
     37
    3438    return cycle;
    3539  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_get_interface.cpp

    r42 r43  
    1212namespace behavioural          {
    1313
     14#undef  FUNCTION
     15#define FUNCTION "Interfaces::get_interface"
    1416  string Interfaces::get_interface (void)
    1517  {
     18    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     19
    1620    uint32_t               depth          = 0;
    1721    string                 separator      = "\n";
     
    4448      }
    4549
     50    log_printf(FUNC,Behavioural,FUNCTION,"End");
     51   
    4652    return text.str();
    4753  };
    4854
     55#undef  FUNCTION
     56#define FUNCTION "Interfaces::get_interface_list"
    4957  list<Interface_fifo*>* Interfaces::get_interface_list (void)
    5058  {
    51     return _list_interface;
     59    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     60    list<Interface_fifo*>* _return =  _list_interface;
     61    log_printf(FUNC,Behavioural,FUNCTION,"End");
     62
     63    return _return;
    5264  };
    5365
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_get_reset.cpp

    r42 r43  
    1313namespace behavioural          {
    1414 
     15#undef  FUNCTION
     16#define FUNCTION "Interfaces::get_reset"
    1517  Signal * Interfaces::get_reset (void)
    1618  {
     19    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     20
    1721    Signal * reset = NULL;
    1822   
     
    3842      throw ErrorMorpheo ("<Interfaces.get_reset> : no reset find.");
    3943   
     44    log_printf(FUNC,Behavioural,FUNCTION,"End");
     45
    4046    return reset;
    4147  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_get_signal.cpp

    r41 r43  
    1414#ifdef VHDL
    1515#  ifdef VHDL_TESTBENCH
     16
     17#undef  FUNCTION
     18#define FUNCTION "Interfaces::get_signal"
    1619  void Interfaces::get_signal (list<string> * & list_signal)
    1720  {
     21    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     22
    1823    if (not _list_interface->empty())
    1924      {
     
    2631          }
    2732      }
     33    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2834  };
    2935#  endif
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_print.cpp

    r31 r43  
    1212namespace behavioural          {
    1313
     14
     15#undef  FUNCTION
     16#define FUNCTION "Interfaces::operator<<"
    1417  ostream& operator<< (ostream& output_stream ,
    1518                       morpheo::behavioural::Interfaces & x)
    1619  {
     20    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1721    output_stream << x.get_interface () << endl;
     22    log_printf(FUNC,Behavioural,FUNCTION,"End");
    1823    return output_stream;
    1924  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_set_interface.cpp

    r42 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "Interfaces::set_interface"
    1315  Interface_fifo * Interfaces::set_interface (string         name       
    1416#ifdef POSITION
     
    1820                                              )
    1921  {
     22    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     23   
    2024    Interface_fifo * interface = new Interface_fifo (name
    2125#ifdef POSITION
     
    2731    _list_interface->push_back (interface);
    2832
     33    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2934     return interface;
    3035  };
    3136
    3237#ifdef POSITION
     38#undef  FUNCTION
     39#define FUNCTION "Interfaces::set_interface"
    3340  Interface_fifo * Interfaces::set_interface (string         name        ,
    34                                          direction_t    direction   ,
    35                                          localisation_t localisation,
    36                                          string         comment     )
     41                                              direction_t    direction   ,
     42                                              localisation_t localisation,
     43                                              string         comment     )
    3744  {
     45    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    3846    Interface_fifo * interface = set_interface(name, direction, localisation);
    3947   
    4048    interface->set_comment (comment);
    4149
     50    log_printf(FUNC,Behavioural,FUNCTION,"End");
    4251    return interface;
    4352  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_set_port.cpp

    r41 r43  
    1313
    1414#ifdef VHDL
     15#undef  FUNCTION
     16#define FUNCTION "Interfaces::set_port"
    1517  void Interfaces::set_port (Vhdl * & vhdl)
    1618  {
     19    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1720    if (not _list_interface->empty())
    1821      {
     
    2528          }
    2629      }
     30    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2731  };
    2832#endif
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_set_signal.cpp

    r41 r43  
    1414#ifdef VHDL
    1515#  ifdef VHDL_TESTBENCH
     16#undef  FUNCTION
     17#define FUNCTION "Interfaces::set_signal"
    1618  void Interfaces::set_signal (Vhdl * & vhdl)
    1719  {
     20    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1821    if (not _list_interface->empty())
    1922      {
     
    2629          }
    2730      }
     31    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2832  };
    2933#  endif
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Interfaces_toXML.cpp

    r42 r43  
    1212namespace behavioural          {
    1313
     14#undef  FUNCTION
     15#define FUNCTION "Interfaces::toXML"
    1416  XML Interfaces::toXML (void)
    1517  {
     18    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     19
    1620    XML xml ("interfaces");
    1721   
     
    2630          }
    2731      }
    28      
     32 
     33    log_printf(FUNC,Behavioural,FUNCTION,"End");   
    2934    return xml;
    3035  };
    3136
     37#undef  FUNCTION
     38#define FUNCTION "Interfaces::toXML_mapping"
    3239  XML Interfaces::toXML_mapping (void)
    3340  {
     41    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     42
    3443    XML xml ("interfaces");
    3544   
     
    4453          }
    4554      }
    46      
     55 
     56    log_printf(FUNC,Behavioural,FUNCTION,"End");   
    4757    return xml;
    4858  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Parameters.cpp

    r15 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "Parameters::Parameters"
    1315  Parameters::Parameters  (void)
    1416  {
     17    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     18    log_printf(FUNC,Behavioural,FUNCTION,"End");
    1519  };
    1620
     21#undef  FUNCTION
     22#define FUNCTION "Parameters::~Parameters" 
    1723  Parameters::~Parameters ()
    1824  {
     25    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     26    log_printf(FUNC,Behavioural,FUNCTION,"End");
    1927  };
    2028
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Parameters_Statistics.cpp

    r2 r43  
    1313
    1414
     15#undef  FUNCTION
     16#define FUNCTION "Parameters_Statistics::Parameters_Statistics"
    1517  Parameters_Statistics::Parameters_Statistics  (uint32_t   nb_cycle_before_begin,
    1618                                                 uint32_t   period_save          ):
    1719    _nb_cycle_before_begin (nb_cycle_before_begin),
    1820    _period_save           (period_save          )
    19   {};
     21  {
     22    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     23    log_printf(FUNC,Behavioural,FUNCTION,"End");
     24  };
    2025
     26#undef  FUNCTION
     27#define FUNCTION "Parameters_Statistics::~Parameters_Statistics" 
    2128  Parameters_Statistics::~Parameters_Statistics ()
    22   {};
     29  {
     30    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     31    log_printf(FUNC,Behavioural,FUNCTION,"End");
     32  };
    2333
    2434}; // end namespace behavioural         
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Parameters_test.cpp

    r2 r43  
    1212namespace behavioural          {
    1313
     14#undef  FUNCTION
     15#define FUNCTION "Parameters::test"
    1416  void Parameters::test (void)         
    1517  {
     18    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1619    string msg = msg_error();
    1720   
    1821    if (msg.length() != 0)
    1922      throw (ErrorMorpheo (msg));
     23    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2024  };
    2125 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_get_clock.cpp

    r42 r43  
    1313namespace behavioural          {
    1414
     15#undef  FUNCTION
     16#define FUNCTION "Signal::get_clock"
    1517  Signal * Signal::get_clock (void)
    1618  {
     19    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     20
     21    Signal * _return;
     22
    1723    if ((_presence_port == CLOCK_VHDL_YES) or
    1824        (_presence_port == CLOCK_VHDL_NO ))
    19       return this;
     25      _return = this;
    2026    else
    21       return NULL;
     27      _return = NULL;
     28
     29    log_printf(FUNC,Behavioural,FUNCTION,"End");
     30    return _return;
    2231  };
    2332
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_get_name.cpp

    r42 r43  
    1212namespace behavioural          {
    1313
     14#undef  FUNCTION
     15#define FUNCTION "Signal::get_name"
    1416  string Signal::get_name (void)
    1517  {
    16       return _name;
     18    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     19    string _return = _name;
     20    log_printf(FUNC,Behavioural,FUNCTION,"End");
     21    return _return;
    1722  };
    1823
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_get_name_vhdl.cpp

    r42 r43  
    1313namespace behavioural          {
    1414
     15#undef  FUNCTION
     16#define FUNCTION "Signal::get_name_vhdl"
    1517  void Signal::get_name_vhdl (list<string> *& list_signal)
    1618  {
     19    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1720    if ((_presence_port == PORT_VHDL_YES_TESTBENCH_YES) or
    1821        (_presence_port == PORT_VHDL_YES_TESTBENCH_NO ) or
     
    2023        (_presence_port == RESET_VHDL_YES))
    2124      list_signal->push_back(_name);
     25    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2226  };
    2327
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_get_reset.cpp

    r42 r43  
    1313namespace behavioural          {
    1414
     15#undef  FUNCTION
     16#define FUNCTION "Signal::get_reset"
    1517  Signal * Signal::get_reset (void)
    1618  {
     19    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     20
     21    Signal * _return;
    1722    if ((_presence_port == RESET_VHDL_YES) or
    1823        (_presence_port == RESET_VHDL_NO ))
     
    2126          throw ErrorMorpheo ("<Signal.get_reset> : size of signal reset is more than one bit.");
    2227
    23         return this;
     28        _return = this;
    2429      }
    2530    else
    26       return NULL;
     31      _return = NULL;
     32
     33    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     34    return _return;
    2735  };
    2836
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_get_reset_cycle.cpp

    r42 r43  
    1313namespace behavioural          {
    1414
     15#undef  FUNCTION
     16#define FUNCTION "Signal::get_reset_cycle"
    1517  uint32_t Signal::get_reset_cycle (bool active_low)
    1618  {
     19    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     20 
    1721    uint32_t num = 0;
    1822    uint32_t cpt = 0;
     
    3640      }
    3741
     42    log_printf(FUNC,Behavioural,FUNCTION,"End");
     43
    3844    return num;
    3945  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_get_signal_link.cpp

    r42 r43  
    1212namespace behavioural          {
    1313
     14#undef  FUNCTION
     15#define FUNCTION "Signal::get_signal_link"
    1416  Signal * Signal::get_signal_link (void)
    1517  {
    16       return _signal;
     18    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     19    Signal * _return = _signal;
     20    log_printf(FUNC,Behavioural,FUNCTION,"End");
     21
     22    return _return;
    1723  };
    1824
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_get_size.cpp

    r42 r43  
    1212namespace behavioural          {
    1313
     14#undef  FUNCTION
     15#define FUNCTION "Signal::get_size"
    1416  uint32_t Signal::get_size (void)
    1517  {
    16       return _size;
     18    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     19    uint32_t _return = _size;
     20    log_printf(FUNC,Behavioural,FUNCTION,"End");
     21
     22    return _return;
    1723  };
    1824
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_link.cpp

    r42 r43  
    1212namespace behavioural          {
    1313
     14#undef  FUNCTION
     15#define FUNCTION "Signal::link"
    1416  void Signal::link (Signal * signal)
    1517  {
     18    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     19
    1620    if (        _is_allocate == false)
    1721      throw (ErrorMorpheo ("Signal \""+_name+"\", can't map with signal \""+        get_name()+"\", because it's not already allocate."));
     
    3337    else
    3438      if ((_direction == OUT) and (signal->_direction == OUT))
    35         switch (_type_info)
    36           {
    37           case BOOL     : {(*(static_cast<sc_out <bool    > *>(_sc_signal))) (*(static_cast<sc_out <bool    > *>(signal->_sc_signal))); break;}
    38           case UINT8_T  : {(*(static_cast<sc_out <uint8_t > *>(_sc_signal))) (*(static_cast<sc_out <uint8_t > *>(signal->_sc_signal))); break;}
    39           case UINT16_T : {(*(static_cast<sc_out <uint16_t> *>(_sc_signal))) (*(static_cast<sc_out <uint16_t> *>(signal->_sc_signal))); break;}
    40           case UINT32_T : {(*(static_cast<sc_out <uint32_t> *>(_sc_signal))) (*(static_cast<sc_out <uint32_t> *>(signal->_sc_signal))); break;}
    41           case UINT64_T : {(*(static_cast<sc_out <uint64_t> *>(_sc_signal))) (*(static_cast<sc_out <uint64_t> *>(signal->_sc_signal))); break;}
    42           default       : {throw (ErrorMorpheo ("Signal \""+_name+"\" : type unknow.")); break;}
    43           }
     39        {
     40          signal->_sc_signal_map = _sc_signal_map;
     41
     42          switch (_type_info)
     43            {
     44            case BOOL     : {(*(static_cast<sc_out <bool    > *>(_sc_signal))) (*(static_cast<sc_out <bool    > *>(signal->_sc_signal))); break;}
     45            case UINT8_T  : {(*(static_cast<sc_out <uint8_t > *>(_sc_signal))) (*(static_cast<sc_out <uint8_t > *>(signal->_sc_signal))); break;}
     46            case UINT16_T : {(*(static_cast<sc_out <uint16_t> *>(_sc_signal))) (*(static_cast<sc_out <uint16_t> *>(signal->_sc_signal))); break;}
     47            case UINT32_T : {(*(static_cast<sc_out <uint32_t> *>(_sc_signal))) (*(static_cast<sc_out <uint32_t> *>(signal->_sc_signal))); break;}
     48            case UINT64_T : {(*(static_cast<sc_out <uint64_t> *>(_sc_signal))) (*(static_cast<sc_out <uint64_t> *>(signal->_sc_signal))); break;}
     49            default       : {throw (ErrorMorpheo ("Signal \""+_name+"\" : type unknow.")); break;}
     50            }
     51        }
    4452      else
    4553        if ((_direction == IN ) and (signal->_direction == OUT))
     
    6674          else
    6775            throw (ErrorMorpheo ("Signal \""+_name+"\" : unsupported direction to link."));
     76
     77    log_printf(FUNC,Behavioural,FUNCTION,"End");
    6878  };
    6979
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_mapping.cpp

    r42 r43  
    1212namespace behavioural          {
    1313
     14#undef  FUNCTION
     15#define FUNCTION "Signal::mapping"
    1416  void Signal::mapping (Signal * signal)
    1517  {
     18    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     19
    1620    if (_is_map == true)
    17       throw (ErrorMorpheo ("Signal \""+_name+"\", can't map, because it's already map."));
     21      throw (ErrorMorpheo ("<Signal::mapping> Can't mapping signal \""+_name+"\" with \""+signal->get_name()+"\", because it's already map."));
    1822   
    1923    if (signal->_is_allocate == false)
    20       throw (ErrorMorpheo ("Signal \""+_name+"\", can't map with signal \""+signal->get_name()+"\", because it's not already allocate."));
     24      throw (ErrorMorpheo ("<Signal::mapping> Signal \""+_name+"\", can't map with signal \""+signal->get_name()+"\", because it's not already allocate."));
    2125
    2226    if (_direction == OUT)
     
    2933      }
    3034   
     35    log_printf(FUNC,Behavioural,FUNCTION,"End");
    3136  };
    3237
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_presence_testbench.cpp

    r42 r43  
    1212namespace behavioural          {
    1313
     14#undef  FUNCTION
     15#define FUNCTION "Signal::presence_vhdl"
    1416  bool Signal::presence_vhdl (void)
    1517  {
    16     return ((_presence_port == PORT_VHDL_YES_TESTBENCH_YES) or
    17             (_presence_port == PORT_VHDL_NO_TESTBENCH_YES ) or
    18             (_presence_port == CLOCK_VHDL_YES             ) or
    19             (_presence_port == RESET_VHDL_YES             ) );
     18    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     19
     20    bool _return = ((_presence_port == PORT_VHDL_YES_TESTBENCH_YES) or
     21                    (_presence_port == PORT_VHDL_NO_TESTBENCH_YES ) or
     22                    (_presence_port == CLOCK_VHDL_YES             ) or
     23                    (_presence_port == RESET_VHDL_YES             ) );
     24
     25    log_printf(FUNC,Behavioural,FUNCTION,"End");
     26
     27    return _return;
    2028  };
    2129
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Signal_presence_vhdl.cpp

    r42 r43  
    1212namespace behavioural          {
    1313
     14#undef  FUNCTION
     15#define FUNCTION "Signal::presence_vhdl"
    1416  bool Signal::presence_vhdl (void)
    1517  {
    16     return ((_presence_port == PORT_VHDL_YES_TESTBENCH_YES) or
    17             (_presence_port == PORT_VHDL_YES_TESTBENCH_NO ) or
    18             (_presence_port == CLOCK_VHDL_YES             ) or
    19             (_presence_port == RESET_VHDL_YES             ) );
     18    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     19    bool _return = ((_presence_port == PORT_VHDL_YES_TESTBENCH_YES) or
     20                    (_presence_port == PORT_VHDL_YES_TESTBENCH_NO ) or
     21                    (_presence_port == CLOCK_VHDL_YES             ) or
     22                    (_presence_port == RESET_VHDL_YES             ) );
     23    log_printf(FUNC,Behavioural,FUNCTION,"End");
     24
     25    return _return;
    2026  };
    2127
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Statistics.cpp

    r2 r43  
    1313namespace behavioural          {
    1414
     15#undef  FUNCTION
     16#define FUNCTION "Statistics::Statistics"
    1517  Statistics::Statistics  (string                name                 ,
    1618                           Parameters_Statistics parameters_statistics):
     
    1820    _parameters_statistics (parameters_statistics)
    1921  {
     22    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2023    _nb_statistics  = 0;
    2124    _period_current = 0;
     25    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2226  };
    2327 
     28#undef  FUNCTION
     29#define FUNCTION "Statistics::~Statistics"
    2430  Statistics::~Statistics ()
    2531  {
     32    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     33    log_printf(FUNC,Behavioural,FUNCTION,"End"); 
    2634  };
    2735 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Statistics_compute_cycle_xxx.cpp

    r2 r43  
    1313namespace behavioural          {
    1414
     15#undef  FUNCTION
     16#define FUNCTION "Statistics::compute_cycle_begin"
    1517  uint32_t Statistics::compute_cycle_begin (uint32_t num_statistics)
    1618  {
    17     return _parameters_statistics._nb_cycle_before_begin + num_statistics*_parameters_statistics._period_save;
     19    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     20    uint32_t _return = _parameters_statistics._nb_cycle_before_begin + num_statistics*_parameters_statistics._period_save;
     21    log_printf(FUNC,Behavioural,FUNCTION,"End");
     22   
     23    return _return;
    1824  };
    1925
     26#undef  FUNCTION
     27#define FUNCTION "Statistics::compute_cycle_end"
    2028  uint32_t Statistics::compute_cycle_end   (uint32_t num_statistics, uint32_t nb_cycle)
    2129  {
     30    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     31
     32    uint32_t _return;
    2233    if (num_statistics == (_nb_statistics-1)) // Last ?
    23       return compute_cycle_begin(num_statistics  ) + nb_cycle;
     34      _return = compute_cycle_begin(num_statistics  ) + nb_cycle;
    2435    else
    25       return compute_cycle_begin(num_statistics+1) - 1;
     36      _return = compute_cycle_begin(num_statistics+1) - 1;
     37
     38    log_printf(FUNC,Behavioural,FUNCTION,"End");
     39
     40    return _return;
    2641  };
    2742
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Statistics_generate_file.cpp

    r2 r43  
    1717namespace behavioural          {
    1818
     19#undef  FUNCTION
     20#define FUNCTION "Statistics::generate_file"
    1921  void Statistics::generate_file(string stat)
    2022  {
     23    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     24
    2125    ostringstream filename;
    2226    filename << _name << ".stat";
     
    4145   
    4246    file.close();
     47
     48    log_printf(FUNC,Behavioural,FUNCTION,"End");
    4349  }
    4450
     51#undef  FUNCTION
     52#define FUNCTION "Statistics::generate_file"
    4553  void Statistics::generate_file(void)
    4654  {
     55    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     56
    4757    generate_file(print(0));
     58
     59    log_printf(FUNC,Behavioural,FUNCTION,"End");
    4860  };
    4961
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Statistics_save.cpp

    r2 r43  
    1616namespace behavioural          {
    1717
     18#undef  FUNCTION
     19#define FUNCTION "Statistics::save"
    1820  template <class Counters, class Parameters_Counters>
    1921  void Statistics::save(Counters ** & counters, Parameters_Counters parameters)
    2022  {
     23    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     24
    2125    // Allocation of a new slot -> take param a first count
    2226    Counters ** counters_tmp;
     
    3438    counters = counters_tmp;
    3539    _nb_statistics ++;
     40
     41    log_printf(FUNC,Behavioural,FUNCTION,"End");
    3642  };
    37 
    3843
    3944}; // end namespace behavioural         
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Statistics_test_if_save.cpp

    r2 r43  
    1616namespace behavioural          {
    1717
     18#undef  FUNCTION
     19#define FUNCTION "Statistics::test_if_save"
    1820  template <class Counters, class Parameters_Counters>
    1921  void Statistics::test_if_save(Counters ** & counters, Parameters_Counters parameters)
    2022  {
     23    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     24
    2125    _period_current ++;
    2226
     
    2832        _period_current = 0;
    2933      }
     34
     35    log_printf(FUNC,Behavioural,FUNCTION,"End");
    3036  };
    3137 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl.cpp

    r2 r43  
    1313namespace behavioural          {
    1414
     15#undef  FUNCTION
     16#define FUNCTION "Vhdl::Vhdl"
    1517  Vhdl::Vhdl  (string                name):
    1618    _name   (name)
    1719  {
     20    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     21    log_printf(FUNC,Behavioural,FUNCTION,"End");
    1822  };
    1923 
     24#undef  FUNCTION
     25#define FUNCTION "Vhdl::~Vhdl"
    2026  Vhdl::~Vhdl ()
    2127  {
     28    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     29    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2230  };
    2331 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_direction_toString.cpp

    r42 r43  
    1616namespace behavioural          {
    1717 
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::direction_toString"
    1820  string Vhdl::direction_toString (direction_t direction)
    1921  {
     22    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     23
     24    string _return;
    2025    switch (direction)
    2126      {
    22       case IN    : return "in    "; break;
    23       case OUT   : return "out   "; break;
    24       default    : return "      "; break;
     27      case IN    : _return = "in    "; break;
     28      case OUT   : _return = "out   "; break;
     29      default    : _return = "      "; break;
    2530      }
     31
     32    log_printf(FUNC,Behavioural,FUNCTION,"End");
     33    return _return;
    2634  };
    2735 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_alias.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::get_alias"
    1820  string Vhdl::get_alias (uint32_t depth)
    1921  {
    20     return get_list(_list_alias, depth, ";", true);
     22    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     23    string _return = get_list(_list_alias, depth, ";", true);
     24    log_printf(FUNC,Behavioural,FUNCTION,"End");
     25
     26    return _return;
    2127  };
    2228 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_architecture.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::get_architecture"
    1820  string Vhdl::get_architecture (uint32_t depth      ,
    1921                                 string   name       ,
    2022                                 string   entity_name)
    2123  {
     24    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     25
    2226    string        tab = string(depth,'\t');
    2327    ostringstream text;
     
    3135         << tab << "end " << name << ";"                                     << endl;
    3236
     37    log_printf(FUNC,Behavioural,FUNCTION,"End");
     38
    3339    return text.str();
    3440  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_body.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::get_body"
    1820  string Vhdl::get_body (uint32_t depth)
    1921  {
    20     return get_list(_list_body,depth,"",true);
     22    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     23    string _return = get_list(_list_body,depth,"",true);
     24    log_printf(FUNC,Behavioural,FUNCTION,"End");
     25
     26    return _return;
    2127  };
    2228 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_component.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::get_component"
    1820  string Vhdl::get_component(uint32_t depth,
    1921                             string   name)
    2022  {
     23    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     24
    2125    string        tab = string(depth,'\t');
    2226    ostringstream text;
     
    2933           << tab << "\t     );"                << endl;
    3034    text << tab << "end component;"           << endl;
    31    
     35 
     36    log_printf(FUNC,Behavioural,FUNCTION,"End");
    3237    return text.str();
    3338  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_entity.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::get_entity"
    1820  string Vhdl::get_entity(uint32_t depth,
    1921                          string   name)
    2022  {
     23    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     24
    2125    string        tab = string(depth,'\t');
    2226    ostringstream text;
     
    3236    text << tab << "end " << name << ";"      << endl;
    3337   
     38    log_printf(FUNC,Behavioural,FUNCTION,"End");
     39     
    3440    return text.str();
    3541  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_header.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::get_header"
    1820  string Vhdl::get_header(uint32_t depth,
    1921                          string   filename)
    2022  {
     23    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     24
    2125    string        tab = string(depth,'\t');
    2226    ostringstream text;
     
    3135         << tab << "-------------------------------------------------------------------------------" << endl;
    3236   
     37    log_printf(FUNC,Behavioural,FUNCTION,"End");
     38
    3339    return text.str();
    3440  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_library_ieee.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::get_library_ieee"
    1820  string Vhdl::get_library_ieee (uint32_t depth)
    1921  {
     22    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     23
    2024    string        tab = string(depth,'\t');
    2125    ostringstream text;
     
    3236         << tab << "--use ieee.std_logic_textio.all;"   << endl;
    3337     
     38    log_printf(FUNC,Behavioural,FUNCTION,"End");
     39
    3440    return text.str();
    3541  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_library_work.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::get_library_work"
    1820  string Vhdl::get_library_work (uint32_t depth)
    1921  {
     22    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     23
    2024    list<string>::iterator i   = _list_library_work.begin();
    2125    string                 tab = string(depth,'\t');
     
    2731           << get_list(_list_library_work,depth,";",true);
    2832
     33    log_printf(FUNC,Behavioural,FUNCTION,"End");
     34
    2935    return text.str();
    3036  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_list.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::get_list"
    1820  string Vhdl::get_list (list<string> liste                ,
    1921                         uint32_t     depth                ,
     
    2123                         bool         last_separator       )
    2224  {
     25    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     26
    2327    list<string>::iterator i     = liste.begin();
    2428    bool                   empty = liste.empty();
     
    4751      }
    4852
     53    log_printf(FUNC,Behavioural,FUNCTION,"End");
     54
    4955    return text.str();
    5056  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_package.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::get_package"
    1820  string Vhdl::get_package(uint32_t depth       ,
    1921                           string   filename    ,
     
    2123                           string   entity_name )
    2224  {
     25    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     26
    2327    string        tab = string(depth,'\t');
    2428    ostringstream text;
     
    2933         << tab << get_component    (depth+1,entity_name)                 << endl
    3034         << tab << "end " << package_name << ";"                          << endl;
     35
     36    log_printf(FUNC,Behavioural,FUNCTION,"End");
    3137   
    3238    return text.str();
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_port.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::get_port"
    1820  string Vhdl::get_port (uint32_t depth)
    1921  {
    20     return get_list (_list_port, depth, ";", false);   
     22    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     23    string _return = get_list (_list_port, depth, ";", false);   
     24    log_printf(FUNC,Behavioural,FUNCTION,"End");
     25
     26    return _return;
    2127  };
    2228 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_signal.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::get_signal"
    1820  string Vhdl::get_signal (uint32_t depth)
    1921  {
    20     return get_list (_list_signal, depth, ";", true);
     22    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     23    string _return = get_list (_list_signal, depth, ";", true);
     24    log_printf(FUNC,Behavioural,FUNCTION,"End");
     25
     26    return _return;
    2127  };
    2228 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_get_type.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::get_type"
    1820  string Vhdl::get_type (uint32_t depth)
    1921  {
    20     return get_list (_list_type, depth, ";", true);
     22    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     23    string _return = get_list (_list_type, depth, ";", true);
     24    log_printf(FUNC,Behavioural,FUNCTION,"End");
     25
     26    return _return;
    2127  };
    2228 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_set_alias.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
    18   void Vhdl::set_alias (string      name1                 ,
    19                         string      type1                 ,
    20                         string      name2                 ,
    21                         string      range2                )
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::set_alias"
     20  void Vhdl::set_alias (string      name1 ,
     21                        string      type1 ,
     22                        string      name2 ,
     23                        string      range2)
    2224  {
     25    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2326    set_list(_list_alias, "alias "+ name1+"\t: "+type1+"\tis "+name2+"\t"+range2);
     27    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2428  };
    2529 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_set_body.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::set_body"
    1820  void Vhdl::set_body (string      text     )
    1921  {
     22    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2023    set_list(_list_body, text);
     24    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2125  };
    2226 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_set_body_component.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::set_body_component"
    1820  void Vhdl::set_body_component (string         name_instance      ,
    1921                                 string         name_component     ,
    2022                                 list<string>   list_port_map      )
    2123  {
     24    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     25
    2226    set_body(name_instance + " : " + name_component);
    2327    set_body("port map (");
    2428    set_list(_list_body, get_list(list_port_map, 1, ",", false));
    2529    set_body(");");
     30
     31    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2632  };
    2733 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_set_body_component_port_map.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::set_body_component_port_map"
    1820  void Vhdl::set_body_component_port_map (list<string> & list_port_map      ,
    1921                                          string         name_port          ,
    2022                                          string         name_signal        )
    2123  {
     24    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2225    set_list(list_port_map, name_port + "\t=>" + name_signal);
     26    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2327  };
    2428 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_set_constant.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::set_constant"
    1820  void Vhdl::set_constant (string      name     ,
    1921                           string      type     ,
    2022                           string      init)
    2123  {
     24    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2225    set_list(_list_type, "constant "+ name+"\t: "+type+"\t:= "+init);
     26    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2327  };
    2428
     
    2731                           string      init)
    2832  {
     33    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2934    set_constant(name,std_logic(size),init);
     35    log_printf(FUNC,Behavioural,FUNCTION,"End");
    3036  };
    3137
     
    3440                           uint32_t    init)
    3541  {
     42    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    3643    set_constant(name,std_logic(size),std_logic_conv(size,init));
     44    log_printf(FUNC,Behavioural,FUNCTION,"End");
    3745  };
    3846 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_set_library_work.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::set_library_work"
    1820  void Vhdl::set_library_work (string      package_name)
    1921  {
     22    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2023    set_list(_list_library_work, "use work."+ package_name + ".all");
     24    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2125  };
    2226 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_set_list.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
    18   void Vhdl::set_list (list<string> & liste ,
    19                        string         text  )
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::set_list"
     20  void Vhdl::set_list (list<string> & liste,
     21                       string         text )
    2022  {
     23    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2124    liste.push_back (text);
     25    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2226  };
    2327   
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_set_port.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::set_port"
    1820  void Vhdl::set_port (string      name     ,
    1921                       direction_t direction,
    2022                       string      type     )
    2123  {
     24    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2225    set_list (_list_port, name + "\t: " + direction_toString(direction) + " " + type);
     26    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2327  };
    2428
     
    2731                       uint32_t    size     )
    2832  {
     33    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2934    set_port(name,direction,std_logic(size));
     35    log_printf(FUNC,Behavioural,FUNCTION,"End");
    3036  };
    3137   
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_set_signal.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::set_signal"
     20
    1821  void Vhdl::set_signal (string      name     ,
    1922                         string      type     )
    2023  {
     24    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2125    set_list(_list_signal, "signal "+ name + "\t: " + type);
     26    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2227  };
    2328
     
    2530                         uint32_t    size     )
    2631  {
     32    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2733    set_signal(name,std_logic(size));
     34    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2835  }
    2936
     
    3239                         string      init)
    3340  {
     41    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    3442    _list_signal.push_back ("signal "+ name+"\t: "+type+"\t:= "+init);
     43    log_printf(FUNC,Behavioural,FUNCTION,"End");
    3544  };
    3645
     
    3948                         string      init     )
    4049  {
     50    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    4151    set_signal(name,std_logic(size),init);
     52    log_printf(FUNC,Behavioural,FUNCTION,"End");
    4253  };
    4354  void Vhdl::set_signal (string      name     ,
     
    4556                         uint32_t    init     )
    4657  {
     58    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    4759    set_signal(name,std_logic(size),std_logic_conv(size,init));
     60    log_printf(FUNC,Behavioural,FUNCTION,"End");
    4861  };
    4962
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_set_type.cpp

    r2 r43  
    1616namespace behavioural          {
    1717 
     18#undef  FUNCTION
     19#define FUNCTION "Vhdl::set_type"
    1820  void Vhdl::set_type (string      name     ,
    1921                       string      type     )
    2022  {
     23    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    2124    set_list(_list_type, "type "+ name + "\tis " + type);
     25    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2226  };
    2327 
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Vhdl_std_logic.cpp

    r2 r43  
    1414namespace behavioural          {
    1515
     16#undef  FUNCTION
     17#define FUNCTION "Vhdl::std_logic"
    1618  string std_logic (uint32_t size)
    1719  {
     20    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     21
    1822    string type;
    1923
     
    2327      type = "std_logic_vector(" + toString(size-1) + " downto 0)";
    2428
     29    log_printf(FUNC,Behavioural,FUNCTION,"End");
     30
    2531    return type;
    2632  };
    2733
     34#undef  FUNCTION
     35#define FUNCTION "Vhdl::std_logic_conv"
    2836  string std_logic_conv (uint32_t size, string value)
    2937  {
     38    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     39
    3040    string conv;
    3141
     
    3545      conv = "conv_std_logic_vector("+value+","+toString(size)+")";
    3646
     47    log_printf(FUNC,Behavioural,FUNCTION,"End");
     48
    3749    return conv;
    3850  };
     
    4052  string std_logic_conv (uint32_t size, uint32_t value)
    4153  {
    42     return std_logic_conv(size,toString(value));
     54    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     55    string _return = std_logic_conv(size,toString(value));
     56    log_printf(FUNC,Behavioural,FUNCTION,"End");
     57
     58    return _return;
    4359  };
    4460
     61#undef  FUNCTION
     62#define FUNCTION "Vhdl::std_logic_range"
    4563  string std_logic_range (uint32_t max, uint32_t min)
    4664  {
     65    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    4766    string type;
    4867
     
    5271      type = "("+toString(max)+" downto "+toString(min)+")";
    5372
     73    log_printf(FUNC,Behavioural,FUNCTION,"End");
     74
    5475    return type;
    5576  };
     
    5778  string std_logic_range (uint32_t size)
    5879  {
    59     return std_logic_range(size-1,0);
     80    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     81    string _return = std_logic_range(size-1,0);
     82    log_printf(FUNC,Behavioural,FUNCTION,"End");
     83
     84    return _return;
    6085  }
    6186
     87#undef  FUNCTION
     88#define FUNCTION "Vhdl::std_logic_others"
    6289  string std_logic_others (uint32_t size, uint32_t cst  )
    6390  {
     91    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     92
     93    string _return;
     94
    6495    if (size < 2)
    65       return "'"+toString(cst)+"'";
     96      _return = "'"+toString(cst)+"'";
    6697    else
    67       return "(others => '"+toString(cst)+"')";
     98      _return = "(others => '"+toString(cst)+"')";
     99
     100    log_printf(FUNC,Behavioural,FUNCTION,"End");
     101
     102    return _return;
    68103  }
    69104}; // end namespace behavioural         
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML.cpp

    r31 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "XML::XML"
    1315  XML::XML  (string name) :
    1416    _name (name)
    1517  {
     18    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1619    _filename_extension="xml";
     20    log_printf(FUNC,Behavioural,FUNCTION,"End");
    1721  };
    1822
     23#undef  FUNCTION
     24#define FUNCTION "XML::~XML"
    1925  XML::~XML ()
    2026  {
     27    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     28    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2129  };
    2230
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_attribut.cpp

    r2 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "XML::attribut"
    1315  bool XML::attribut (string name, string value)
    1416  {
     17    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1518    _body += " "+name+"=\""+value+"\"";
     19    log_printf(FUNC,Behavioural,FUNCTION,"End");
    1620   
    1721    return true;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_balise_close.cpp

    r2 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "XML::balise_close"
    1315  bool XML::balise_close ()
    1416  {
     17    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1518    string name=*(_list_balise_name.begin());
    1619
     
    1821
    1922    _body += indent()+"</"+name+">\n";
     23    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2024
    2125    return true;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_balise_open.cpp

    r2 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "XML::balise_open"
    1315  bool XML::balise_open (string name)
    1416  {
    15     if (not balise_open_begin(name)) return false;
    16     return  balise_open_end  ();
     17    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     18
     19    bool _return;
     20    if (not balise_open_begin(name))
     21      _return = false;
     22    else
     23      _return = balise_open_end  ();
     24
     25    log_printf(FUNC,Behavioural,FUNCTION,"End");
     26    return _return;
    1727  };
    1828
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_balise_open_begin.cpp

    r2 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "XML::balise_open_begin"
    1315  bool XML::balise_open_begin (string name)
    1416  {
     17    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     18
    1519    _body += indent() + "<"+name;
    1620
    1721    _list_balise_name.push_front(name);
     22
     23    log_printf(FUNC,Behavioural,FUNCTION,"End");
    1824
    1925    return true;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_balise_open_end.cpp

    r3 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "XML::balise_open_end"
    1315  bool XML::balise_open_end (void)
    1416  {
     17    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1518    _body += " >\n";
     19    log_printf(FUNC,Behavioural,FUNCTION,"End");
    1620
    1721    return true;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_comment.cpp

    r2 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "XML::comment"
    1315  bool XML::comment (string texte)
    1416  {
     17    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1518    _body+=indent()+"<!-- "+texte+" -->\n";
     19    log_printf(FUNC,Behavioural,FUNCTION,"End");
    1620
    1721    return true;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_depth.cpp

    r2 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "XML::depth"
    1315  uint32_t XML::depth (void)
    1416  {
    15     return _list_balise_name.size();
     17    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     18    uint32_t _return = _list_balise_name.size();
     19    log_printf(FUNC,Behavioural,FUNCTION,"End");
     20
     21    return _return;
    1622  };
    1723
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_filename_extension.cpp

    r31 r43  
    1313namespace behavioural          {
    1414
     15#undef  FUNCTION
     16#define FUNCTION "XML::filename_extension"
    1517  void XML::filename_extension (string extension)
    1618  {
     19    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1720    _filename_extension = extension;
     21    log_printf(FUNC,Behavioural,FUNCTION,"End");
    1822  };
    1923
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_generate_file.cpp

    r31 r43  
    1313namespace behavioural          {
    1414
     15#undef  FUNCTION
     16#define FUNCTION "XML::generate_file"
    1517  void XML::generate_file (string encoding)
    1618  {
     19    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     20
    1721    header (encoding);
    1822
     
    2832
    2933    file.close();
     34
     35    log_printf(FUNC,Behavioural,FUNCTION,"End");
    3036  };
    3137
    3238  void XML::generate_file (void)
    3339  {
     40    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    3441    generate_file("UTF-8");
     42    log_printf(FUNC,Behavioural,FUNCTION,"End");
    3543  };
    3644
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_get_body.cpp

    r3 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "XML::get_body"
    1315  string XML::get_body (void)
    1416  {
     17    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     18    log_printf(FUNC,Behavioural,FUNCTION,"End");
    1519    return _body;
    1620  };
     
    1822  string XML::get_body (uint32_t depth)
    1923  {
     24    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     25
    2026    string body       = _body;
    2127    string tabulation = indent(depth);
     
    2531      body.insert(++pos,tabulation);
    2632
     33    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2734    return body;
    2835  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_header.cpp

    r3 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "XML::header"
    1315  void XML::header (string encoding)
    1416  {
     17    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1518    _body = "<?xml version=\"1.0\" encoding=\""+encoding+"\" ?>\n" + _body;
     19    log_printf(FUNC,Behavioural,FUNCTION,"End");
    1620  };
    1721
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_indent.cpp

    r2 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "XML::indent"
    1315  string XML::indent (uint32_t depth)
    1416  {
    15     return string(depth,'\t');
     17    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     18    string _return = string(depth,'\t');
     19    log_printf(FUNC,Behavioural,FUNCTION,"End");
     20
     21    return _return;
    1622  };
    1723
    1824  string XML::indent (void)
    1925  {
    20     return indent(depth());
     26    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     27    string _return = indent(depth());
     28    log_printf(FUNC,Behavioural,FUNCTION,"End");
     29
     30    return _return;
    2131  };
    2232
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_insert_XML.cpp

    r29 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "XML::insert_XML"
    1315  bool XML::insert_XML (XML xml)
    1416  {
     17    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1518    //return text (xml.get_body());
    1619    _body += xml.get_body(depth());
     20    log_printf(FUNC,Behavioural,FUNCTION,"End");
    1721
    1822    return true;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_singleton.cpp

    r2 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "XML::singleton"
    1315  bool XML::singleton (string name)
    1416  {
    15     if (not singleton_begin(name)) return false;
    16     return  singleton_end  ();
     17    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
     18   
     19    bool _return;
     20
     21    if (not singleton_begin(name))
     22      _return = false;
     23    else
     24      _return = singleton_end  ();
     25
     26    log_printf(FUNC,Behavioural,FUNCTION,"End");
     27    return _return;
    1728  };
    1829
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_singleton_begin.cpp

    r2 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "XML::singleton_begin"
    1315  bool XML::singleton_begin (string name)
    1416  {
     17    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1518    _body += indent() + "<"+name+" ";
     19    log_printf(FUNC,Behavioural,FUNCTION,"End");
    1620
    1721    return true;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_singleton_end.cpp

    r2 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "XML::singleton_end"
    1315  bool XML::singleton_end (void)
    1416  {
     17    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1518    _body+=" />\n";
     19    log_printf(FUNC,Behavioural,FUNCTION,"End");
    1620   
    1721    return true;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/XML_text.cpp

    r2 r43  
    1111namespace behavioural          {
    1212
     13#undef  FUNCTION
     14#define FUNCTION "XML::text"
    1315  bool XML::text (string text)
    1416  {
     17    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    1518    _body += indent()+text+"\n";
     19    log_printf(FUNC,Behavioural,FUNCTION,"End");
    1620
    1721    return true;
Note: See TracChangeset for help on using the changeset viewer.