ToolsCourseTp3: pattern.pat

File pattern.pat, 46.1 KB (added by anne, 17 years ago)

fichier de vecteurs de test de l'AMD2901

Line 
1
2-- description generated by Pat driver v107
3--                      date : Fri Oct  8 21:23:19 1999
4
5
6--              sequence : pattern
7
8-- input / output list :
9in       a (3 downto 0) X;;
10in       b (3 downto 0) X;;
11in       d (3 downto 0) X;;
12in       i (8 downto 0) O;;
13--in       fonc B;;
14--in       test B;;
15--in       scin B;;
16in       noe B;;
17in       ck B;;
18in       cin B;;
19inout    r0 B;;
20inout    r3 B;;
21inout    q0 B;;
22inout    q3 B;;
23out      y (3 downto 0) X;;
24out      zero B;;
25out      signe B;;
26--out      scout B;;
27out      ovr B;;
28out      np B;;
29out      ng B;;
30out      cout B;;
31in       vdd B;;
32in       vss B;;
33in       vdde B;;
34in       vsse B;;
35
36begin
37
38-- Pattern description :
39
40--                        a b d i   n c c  r  r  q  q  y  z  s  o  n  n  c v v v v 
41--                                  o k i  0  3  0  3     e  i  v  p  g  o d s d s 
42--                                  e   n                 r  g  r        u d s d s 
43--                                                        o  n           t     e e 
44--                                                           e                     
45
46
47-- Beware : unprocessed patterns
48
49<0 ps>                  : 0 0 a 007 0 0 0 ?* ?* ?* ?* ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
50<        100 ps>        : 0 0 a 007 0 1 0 ?* ?* ?* ?* ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
51<        200 ps>        : 0 0 5 007 0 0 0 ?* ?* ?* ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
52<        300 ps>        : 0 0 5 007 0 1 0 ?* ?* ?* ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
53<        400 ps>        : 0 0 a 032 0 0 0 ?* ?* ?* ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
54<        500 ps>        : 0 0 a 032 0 1 0 ?* ?* ?* ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
55<        600 ps>        : 0 0 a 462 0 0 0 ?*  1 ?*  1 ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
56<        700 ps>        : 0 0 a 462 0 1 0 ?*  1 ?*  1 ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
57<        800 ps>        : 0 1 a 462 0 0 0 ?*  0 ?*  0 ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
58<        900 ps>        : 0 1 a 462 0 1 0 ?*  0 ?*  0 ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
59<       1000 ps>        : 0 2 a 462 0 0 0 ?*  1 ?*  1 ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
60<       1100 ps>        : 0 2 a 462 0 1 0 ?*  1 ?*  1 ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
61<       1200 ps>        : 0 3 a 462 0 0 0 ?*  0 ?*  0 ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
62<       1300 ps>        : 0 3 a 462 0 1 0 ?*  0 ?*  0 ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
63<       1400 ps>        : 0 4 a 462 0 0 0 ?*  1 ?*  1 ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
64<       1500 ps>        : 0 4 a 462 0 1 0 ?*  1 ?*  1 ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
65<       1600 ps>        : 0 5 a 462 0 0 0 ?*  0 ?*  0 ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
66<       1700 ps>        : 0 5 a 462 0 1 0 ?*  0 ?*  0 ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
67<       1800 ps>        : 0 6 a 462 0 0 0 ?*  1 ?*  1 ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
68<       1900 ps>        : 0 6 a 462 0 1 0 ?*  1 ?*  1 ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
69<       2000 ps>        : 0 7 a 462 0 0 0 ?*  0 ?*  0 ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
70<       2100 ps>        : 0 7 a 462 0 1 0 ?*  0 ?*  0 ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
71<       2200 ps>        : 0 8 a 462 0 0 0 ?*  1 ?*  1 ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
72<       2300 ps>        : 0 8 a 462 0 1 0 ?*  1 ?*  1 ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
73<       2400 ps>        : 0 0 a 163 0 0 0 ?*  1 ?*  1 ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
74<       2500 ps>        : 0 0 a 163 0 1 0 ?*  1 ?*  1 ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
75<       2600 ps>        : 0 1 a 163 0 0 0 ?*  1 ?*  1 ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
76<       2700 ps>        : 0 1 a 163 0 1 0 ?*  1 ?*  1 ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
77<       2800 ps>        : 0 2 a 163 0 0 0 ?*  1 ?*  1 ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
78<       2900 ps>        : 0 2 a 163 0 1 0 ?*  1 ?*  1 ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
79<       3000 ps>        : 0 3 a 163 0 0 0 ?*  1 ?*  1 ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
80<       3100 ps>        : 0 3 a 163 0 1 0 ?*  1 ?*  1 ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
81<       3200 ps>        : 0 4 a 163 0 0 0 ?*  1 ?*  1 ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
82<       3300 ps>        : 0 4 a 163 0 1 0 ?*  1 ?*  1 ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
83<       3400 ps>        : 0 5 a 163 0 0 0 ?*  1 ?*  1 ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
84<       3500 ps>        : 0 5 a 163 0 1 0 ?*  1 ?*  1 ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
85<       3600 ps>        : 0 6 a 163 0 0 0 ?*  1 ?*  1 ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
86<       3700 ps>        : 0 6 a 163 0 1 0 ?*  1 ?*  1 ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
87<       3800 ps>        : 0 7 a 163 0 0 0 ?*  1 ?*  1 ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
88<       3900 ps>        : 0 7 a 163 0 1 0 ?*  1 ?*  1 ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
89<       4000 ps>        : 0 7 a 007 0 0 0 ?* ?* ?* ?* ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
90<       4100 ps>        : 0 7 a 007 0 1 0 ?* ?* ?* ?* ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
91<       4200 ps>        : 0 7 5 007 0 0 0 ?* ?* ?* ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
92<       4300 ps>        : 0 7 5 007 0 1 0 ?* ?* ?* ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
93<       4400 ps>        : 0 7 a 032 0 0 0 ?* ?* ?* ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
94<       4500 ps>        : 0 7 a 032 0 1 0 ?* ?* ?* ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
95<       4600 ps>        : 0 0 a 662 0 0 0  0 ?*  0 ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
96<       4700 ps>        : 0 0 a 662 0 1 0  0 ?*  0 ?* ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
97<       4800 ps>        : 0 1 a 662 0 0 0  1 ?*  1 ?* ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
98<       4900 ps>        : 0 1 a 662 0 1 0  1 ?*  1 ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
99<       5000 ps>        : 0 2 a 662 0 0 0  0 ?*  0 ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
100<       5100 ps>        : 0 2 a 662 0 1 0  0 ?*  0 ?* ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
101<       5200 ps>        : 0 3 a 662 0 0 0  1 ?*  1 ?* ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
102<       5300 ps>        : 0 3 a 662 0 1 0  1 ?*  1 ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
103<       5400 ps>        : 0 4 a 662 0 0 0  0 ?*  0 ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
104<       5500 ps>        : 0 4 a 662 0 1 0  0 ?*  0 ?* ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
105<       5600 ps>        : 0 5 a 662 0 0 0  1 ?*  1 ?* ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
106<       5700 ps>        : 0 5 a 662 0 1 0  1 ?*  1 ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
107<       5800 ps>        : 0 6 a 662 0 0 0  0 ?*  0 ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
108<       5900 ps>        : 0 6 a 662 0 1 0  0 ?*  0 ?* ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
109<       6000 ps>        : 0 7 a 662 0 0 0  1 ?*  1 ?* ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
110<       6100 ps>        : 0 7 a 662 0 1 0  1 ?*  1 ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
111<       6200 ps>        : 0 8 a 662 0 0 0  0 ?*  0 ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
112<       6300 ps>        : 0 8 a 662 0 1 0  0 ?*  0 ?* ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
113<       6400 ps>        : 0 0 a 163 0 0 0  0 ?*  0 ?* ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
114<       6500 ps>        : 0 0 a 163 0 1 0  0 ?*  0 ?* ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
115<       6600 ps>        : 0 1 a 163 0 0 0  0 ?*  0 ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
116<       6700 ps>        : 0 1 a 163 0 1 0  0 ?*  0 ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
117<       6800 ps>        : 0 2 a 163 0 0 0  0 ?*  0 ?* ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
118<       6900 ps>        : 0 2 a 163 0 1 0  0 ?*  0 ?* ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
119<       7000 ps>        : 0 3 a 163 0 0 0  0 ?*  0 ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
120<       7100 ps>        : 0 3 a 163 0 1 0  0 ?*  0 ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
121<       7200 ps>        : 0 4 a 163 0 0 0  0 ?*  0 ?* ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
122<       7300 ps>        : 0 4 a 163 0 1 0  0 ?*  0 ?* ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
123<       7400 ps>        : 0 5 a 163 0 0 0  0 ?*  0 ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
124<       7500 ps>        : 0 5 a 163 0 1 0  0 ?*  0 ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
125<       7600 ps>        : 0 6 a 163 0 0 0  0 ?*  0 ?* ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
126<       7700 ps>        : 0 6 a 163 0 1 0  0 ?*  0 ?* ?a ?* ?1 ?* ?* ?* ?* 1 0 1 0 ;
127<       7800 ps>        : 0 7 a 163 0 0 0  0 ?*  0 ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
128<       7900 ps>        : 0 7 a 163 0 1 0  0 ?*  0 ?* ?5 ?* ?0 ?* ?* ?* ?* 1 0 1 0 ;
129<       8000 ps>        : 0 0 0 337 0 0 0  0 ?*  0 ?* ?0 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
130<       8100 ps>        : 0 0 0 337 0 1 0  0 ?*  0 ?* ?0 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
131<       8200 ps>        : 1 1 1 337 0 0 0  0 ?*  0 ?* ?1 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
132<       8300 ps>        : 1 1 1 337 0 1 0  0 ?*  0 ?* ?1 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
133<       8400 ps>        : 2 2 2 337 0 0 0  0 ?*  0 ?* ?2 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
134<       8500 ps>        : 2 2 2 337 0 1 0  0 ?*  0 ?* ?2 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
135<       8600 ps>        : 3 3 3 337 0 0 0  0 ?*  0 ?* ?3 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
136<       8700 ps>        : 3 3 3 337 0 1 0  0 ?*  0 ?* ?3 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
137<       8800 ps>        : 4 4 4 337 0 0 0  0 ?*  0 ?* ?4 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
138<       8900 ps>        : 4 4 4 337 0 1 0  0 ?*  0 ?* ?4 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
139<       9000 ps>        : 5 5 5 337 0 0 0  0 ?*  0 ?* ?5 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
140<       9100 ps>        : 5 5 5 337 0 1 0  0 ?*  0 ?* ?5 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
141<       9200 ps>        : 6 6 6 337 0 0 0  0 ?*  0 ?* ?6 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
142<       9300 ps>        : 6 6 6 337 0 1 0  0 ?*  0 ?* ?6 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
143<       9400 ps>        : 7 7 7 337 0 0 0  0 ?*  0 ?* ?7 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
144<       9500 ps>        : 7 7 7 337 0 1 0  0 ?*  0 ?* ?7 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
145<       9600 ps>        : 8 8 8 337 0 0 0  0 ?*  0 ?* ?8 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
146<       9700 ps>        : 8 8 8 337 0 1 0  0 ?*  0 ?* ?8 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
147<       9800 ps>        : 9 9 9 337 0 0 0  0 ?*  0 ?* ?9 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
148<       9900 ps>        : 9 9 9 337 0 1 0  0 ?*  0 ?* ?9 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
149<      10000 ps>        : a a a 337 0 0 0  0 ?*  0 ?* ?a ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
150<      10100 ps>        : a a a 337 0 1 0  0 ?*  0 ?* ?a ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
151<      10200 ps>        : b b b 337 0 0 0  0 ?*  0 ?* ?b ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
152<      10300 ps>        : b b b 337 0 1 0  0 ?*  0 ?* ?b ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
153<      10400 ps>        : c c c 337 0 0 0  0 ?*  0 ?* ?c ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
154<      10500 ps>        : c c c 337 0 1 0  0 ?*  0 ?* ?c ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
155<      10600 ps>        : d d d 337 0 0 0  0 ?*  0 ?* ?d ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
156<      10700 ps>        : d d d 337 0 1 0  0 ?*  0 ?* ?d ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
157<      10800 ps>        : e e e 337 0 0 0  0 ?*  0 ?* ?e ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
158<      10900 ps>        : e e e 337 0 1 0  0 ?*  0 ?* ?e ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
159<      11000 ps>        : f f f 337 0 0 0  0 ?*  0 ?* ?f ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
160<      11100 ps>        : f f f 337 0 1 0  0 ?*  0 ?* ?f ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
161<      11200 ps>        : f f f 337 0 0 0  0 ?*  0 ?* ?f ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
162<      11300 ps>        : f f f 337 0 1 0  0 ?*  0 ?* ?f ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
163<      11400 ps>        : 0 0 0 137 0 0 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
164<      11500 ps>        : 0 0 0 137 0 1 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
165<      11600 ps>        : 0 0 0 134 0 0 0  0 ?*  0 ?* ?0 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
166<      11700 ps>        : 0 0 0 134 0 1 0  0 ?*  0 ?* ?0 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
167<      11800 ps>        : 1 0 0 134 0 0 0  0 ?*  0 ?* ?1 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
168<      11900 ps>        : 1 0 0 134 0 1 0  0 ?*  0 ?* ?1 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
169<      12000 ps>        : 2 0 0 134 0 0 0  0 ?*  0 ?* ?2 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
170<      12100 ps>        : 2 0 0 134 0 1 0  0 ?*  0 ?* ?2 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
171<      12200 ps>        : 3 0 0 134 0 0 0  0 ?*  0 ?* ?3 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
172<      12300 ps>        : 3 0 0 134 0 1 0  0 ?*  0 ?* ?3 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
173<      12400 ps>        : 4 0 0 134 0 0 0  0 ?*  0 ?* ?4 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
174<      12500 ps>        : 4 0 0 134 0 1 0  0 ?*  0 ?* ?4 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
175<      12600 ps>        : 5 0 0 134 0 0 0  0 ?*  0 ?* ?5 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
176<      12700 ps>        : 5 0 0 134 0 1 0  0 ?*  0 ?* ?5 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
177<      12800 ps>        : 6 0 0 134 0 0 0  0 ?*  0 ?* ?6 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
178<      12900 ps>        : 6 0 0 134 0 1 0  0 ?*  0 ?* ?6 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
179<      13000 ps>        : 7 0 0 134 0 0 0  0 ?*  0 ?* ?7 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
180<      13100 ps>        : 7 0 0 134 0 1 0  0 ?*  0 ?* ?7 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
181<      13200 ps>        : 8 0 0 134 0 0 0  0 ?*  0 ?* ?8 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
182<      13300 ps>        : 8 0 0 134 0 1 0  0 ?*  0 ?* ?8 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
183<      13400 ps>        : 9 0 0 134 0 0 0  0 ?*  0 ?* ?9 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
184<      13500 ps>        : 9 0 0 134 0 1 0  0 ?*  0 ?* ?9 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
185<      13600 ps>        : a 0 0 134 0 0 0  0 ?*  0 ?* ?a ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
186<      13700 ps>        : a 0 0 134 0 1 0  0 ?*  0 ?* ?a ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
187<      13800 ps>        : b 0 0 134 0 0 0  0 ?*  0 ?* ?b ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
188<      13900 ps>        : b 0 0 134 0 1 0  0 ?*  0 ?* ?b ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
189<      14000 ps>        : c 0 0 134 0 0 0  0 ?*  0 ?* ?c ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
190<      14100 ps>        : c 0 0 134 0 1 0  0 ?*  0 ?* ?c ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
191<      14200 ps>        : d 0 0 134 0 0 0  0 ?*  0 ?* ?d ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
192<      14300 ps>        : d 0 0 134 0 1 0  0 ?*  0 ?* ?d ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
193<      14400 ps>        : e 0 0 134 0 0 0  0 ?*  0 ?* ?e ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
194<      14500 ps>        : e 0 0 134 0 1 0  0 ?*  0 ?* ?e ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
195<      14600 ps>        : f 0 0 134 0 0 0  0 ?*  0 ?* ?f ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
196<      14700 ps>        : f 0 0 134 0 1 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
197<      14800 ps>        : f 0 0 134 0 0 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
198<      14900 ps>        : f 0 0 134 0 1 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
199<      15000 ps>        : 0 0 0 137 0 0 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
200<      15100 ps>        : 0 0 0 137 0 1 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
201<      15200 ps>        : 0 0 0 133 0 0 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
202<      15300 ps>        : 0 0 0 133 0 1 0  0 ?*  0 ?* ?0 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
203<      15400 ps>        : 0 0 0 133 0 0 0  0 ?*  0 ?* ?0 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
204<      15500 ps>        : 0 0 0 133 0 1 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
205<      15600 ps>        : 0 1 0 133 0 0 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
206<      15700 ps>        : 0 1 0 133 0 1 0  0 ?*  0 ?* ?1 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
207<      15800 ps>        : 0 1 0 133 0 0 0  0 ?*  0 ?* ?1 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
208<      15900 ps>        : 0 1 0 133 0 1 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
209<      16000 ps>        : 0 2 0 133 0 0 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
210<      16100 ps>        : 0 2 0 133 0 1 0  0 ?*  0 ?* ?2 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
211<      16200 ps>        : 0 2 0 133 0 0 0  0 ?*  0 ?* ?2 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
212<      16300 ps>        : 0 2 0 133 0 1 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
213<      16400 ps>        : 0 3 0 133 0 0 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
214<      16500 ps>        : 0 3 0 133 0 1 0  0 ?*  0 ?* ?3 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
215<      16600 ps>        : 0 3 0 133 0 0 0  0 ?*  0 ?* ?3 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
216<      16700 ps>        : 0 3 0 133 0 1 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
217<      16800 ps>        : 0 4 0 133 0 0 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
218<      16900 ps>        : 0 4 0 133 0 1 0  0 ?*  0 ?* ?4 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
219<      17000 ps>        : 0 4 0 133 0 0 0  0 ?*  0 ?* ?4 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
220<      17100 ps>        : 0 4 0 133 0 1 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
221<      17200 ps>        : 0 5 0 133 0 0 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
222<      17300 ps>        : 0 5 0 133 0 1 0  0 ?*  0 ?* ?5 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
223<      17400 ps>        : 0 5 0 133 0 0 0  0 ?*  0 ?* ?5 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
224<      17500 ps>        : 0 5 0 133 0 1 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
225<      17600 ps>        : 0 6 0 133 0 0 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
226<      17700 ps>        : 0 6 0 133 0 1 0  0 ?*  0 ?* ?6 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
227<      17800 ps>        : 0 6 0 133 0 0 0  0 ?*  0 ?* ?6 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
228<      17900 ps>        : 0 6 0 133 0 1 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
229<      18000 ps>        : 0 7 0 133 0 0 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
230<      18100 ps>        : 0 7 0 133 0 1 0  0 ?*  0 ?* ?7 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
231<      18200 ps>        : 0 7 0 133 0 0 0  0 ?*  0 ?* ?7 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
232<      18300 ps>        : 0 7 0 133 0 1 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
233<      18400 ps>        : 0 8 0 133 0 0 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
234<      18500 ps>        : 0 8 0 133 0 1 0  0 ?*  0 ?* ?8 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
235<      18600 ps>        : 0 8 0 133 0 0 0  0 ?*  0 ?* ?8 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
236<      18700 ps>        : 0 8 0 133 0 1 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
237<      18800 ps>        : 0 9 0 133 0 0 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
238<      18900 ps>        : 0 9 0 133 0 1 0  0 ?*  0 ?* ?9 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
239<      19000 ps>        : 0 9 0 133 0 0 0  0 ?*  0 ?* ?9 ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
240<      19100 ps>        : 0 9 0 133 0 1 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
241<      19200 ps>        : 0 a 0 133 0 0 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
242<      19300 ps>        : 0 a 0 133 0 1 0  0 ?*  0 ?* ?a ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
243<      19400 ps>        : 0 a 0 133 0 0 0  0 ?*  0 ?* ?a ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
244<      19500 ps>        : 0 a 0 133 0 1 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
245<      19600 ps>        : 0 b 0 133 0 0 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
246<      19700 ps>        : 0 b 0 133 0 1 0  0 ?*  0 ?* ?b ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
247<      19800 ps>        : 0 b 0 133 0 0 0  0 ?*  0 ?* ?b ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
248<      19900 ps>        : 0 b 0 133 0 1 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
249<      20000 ps>        : 0 c 0 133 0 0 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
250<      20100 ps>        : 0 c 0 133 0 1 0  0 ?*  0 ?* ?c ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
251<      20200 ps>        : 0 c 0 133 0 0 0  0 ?*  0 ?* ?c ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
252<      20300 ps>        : 0 c 0 133 0 1 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
253<      20400 ps>        : 0 d 0 133 0 0 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
254<      20500 ps>        : 0 d 0 133 0 1 0  0 ?*  0 ?* ?d ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
255<      20600 ps>        : 0 d 0 133 0 0 0  0 ?*  0 ?* ?d ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
256<      20700 ps>        : 0 d 0 133 0 1 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
257<      20800 ps>        : 0 e 0 133 0 0 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
258<      20900 ps>        : 0 e 0 133 0 1 0  0 ?*  0 ?* ?e ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
259<      21000 ps>        : 0 e 0 133 0 0 0  0 ?*  0 ?* ?e ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
260<      21100 ps>        : 0 e 0 133 0 1 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
261<      21200 ps>        : 0 f 0 133 0 0 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
262<      21300 ps>        : 0 f 0 133 0 1 0  0 ?*  0 ?* ?f ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
263<      21400 ps>        : 0 f 0 133 0 0 0  0 ?*  0 ?* ?f ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
264<      21500 ps>        : 0 f 0 133 0 1 0  0 ?*  0 ?* ?* ?* ?* ?* ?* ?* ?* 1 0 1 0 ;
265<      21600 ps>        : 0 0 0 107 0 0 0  0  0  0  0 ?0 ?1 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
266<      21700 ps>        : 0 0 0 107 0 1 0  0  0  0  0 ?0 ?1 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
267<      21800 ps>        : 0 0 1 107 0 0 0  0  0  0  0 ?1 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
268<      21900 ps>        : 0 0 1 107 0 1 0  0  0  0  0 ?1 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
269<      22000 ps>        : 0 0 2 107 0 0 0  0  0  0  0 ?2 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
270<      22100 ps>        : 0 0 2 107 0 1 0  0  0  0  0 ?2 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
271<      22200 ps>        : 0 0 3 107 0 0 0  0  0  0  0 ?3 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
272<      22300 ps>        : 0 0 3 107 0 1 0  0  0  0  0 ?3 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
273<      22400 ps>        : 0 0 4 107 0 0 0  0  0  0  0 ?4 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
274<      22500 ps>        : 0 0 4 107 0 1 0  0  0  0  0 ?4 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
275<      22600 ps>        : 0 0 5 107 0 0 0  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
276<      22700 ps>        : 0 0 5 107 0 1 0  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
277<      22800 ps>        : 0 0 6 107 0 0 0  0  0  0  0 ?6 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
278<      22900 ps>        : 0 0 6 107 0 1 0  0  0  0  0 ?6 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
279<      23000 ps>        : 0 0 7 107 0 0 0  0  0  0  0 ?7 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
280<      23100 ps>        : 0 0 7 107 0 1 0  0  0  0  0 ?7 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
281<      23200 ps>        : 0 0 8 107 0 0 0  0  0  0  0 ?8 ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
282<      23300 ps>        : 0 0 8 107 0 1 0  0  0  0  0 ?8 ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
283<      23400 ps>        : 0 0 9 107 0 0 0  0  0  0  0 ?9 ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
284<      23500 ps>        : 0 0 9 107 0 1 0  0  0  0  0 ?9 ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
285<      23600 ps>        : 0 0 a 107 0 0 0  0  0  0  0 ?a ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
286<      23700 ps>        : 0 0 a 107 0 1 0  0  0  0  0 ?a ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
287<      23800 ps>        : 0 0 b 107 0 0 0  0  0  0  0 ?b ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
288<      23900 ps>        : 0 0 b 107 0 1 0  0  0  0  0 ?b ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
289<      24000 ps>        : 0 0 c 107 0 0 0  0  0  0  0 ?c ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
290<      24100 ps>        : 0 0 c 107 0 1 0  0  0  0  0 ?c ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
291<      24200 ps>        : 0 0 d 107 0 0 0  0  0  0  0 ?d ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
292<      24300 ps>        : 0 0 d 107 0 1 0  0  0  0  0 ?d ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
293<      24400 ps>        : 0 0 e 107 0 0 0  0  0  0  0 ?e ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
294<      24500 ps>        : 0 0 e 107 0 1 0  0  0  0  0 ?e ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
295<      24600 ps>        : 0 0 f 107 0 0 0  0  0  0  0 ?f ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
296<      24700 ps>        : 0 0 f 107 0 1 0  0  0  0  0 ?f ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
297<      24800 ps>        : 0 0 0 117 0 0 1  0  0  0  0 ?0 ?1 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
298<      24900 ps>        : 0 0 0 117 0 1 1  0  0  0  0 ?0 ?1 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
299<      25000 ps>        : 0 0 1 117 0 0 1  0  0  0  0 ?f ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
300<      25100 ps>        : 0 0 1 117 0 1 1  0  0  0  0 ?f ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
301<      25200 ps>        : 0 0 2 117 0 0 1  0  0  0  0 ?e ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
302<      25300 ps>        : 0 0 2 117 0 1 1  0  0  0  0 ?e ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
303<      25400 ps>        : 0 0 3 117 0 0 1  0  0  0  0 ?d ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
304<      25500 ps>        : 0 0 3 117 0 1 1  0  0  0  0 ?d ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
305<      25600 ps>        : 0 0 4 117 0 0 1  0  0  0  0 ?c ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
306<      25700 ps>        : 0 0 4 117 0 1 1  0  0  0  0 ?c ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
307<      25800 ps>        : 0 0 5 117 0 0 1  0  0  0  0 ?b ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
308<      25900 ps>        : 0 0 5 117 0 1 1  0  0  0  0 ?b ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
309<      26000 ps>        : 0 0 6 117 0 0 1  0  0  0  0 ?a ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
310<      26100 ps>        : 0 0 6 117 0 1 1  0  0  0  0 ?a ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
311<      26200 ps>        : 0 0 7 117 0 0 1  0  0  0  0 ?9 ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
312<      26300 ps>        : 0 0 7 117 0 1 1  0  0  0  0 ?9 ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
313<      26400 ps>        : 0 0 8 117 0 0 1  0  0  0  0 ?8 ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
314<      26500 ps>        : 0 0 8 117 0 1 1  0  0  0  0 ?8 ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
315<      26600 ps>        : 0 0 9 117 0 0 1  0  0  0  0 ?7 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
316<      26700 ps>        : 0 0 9 117 0 1 1  0  0  0  0 ?7 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
317<      26800 ps>        : 0 0 a 117 0 0 1  0  0  0  0 ?6 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
318<      26900 ps>        : 0 0 a 117 0 1 1  0  0  0  0 ?6 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
319<      27000 ps>        : 0 0 b 117 0 0 1  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
320<      27100 ps>        : 0 0 b 117 0 1 1  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
321<      27200 ps>        : 0 0 c 117 0 0 1  0  0  0  0 ?4 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
322<      27300 ps>        : 0 0 c 117 0 1 1  0  0  0  0 ?4 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
323<      27400 ps>        : 0 0 d 117 0 0 1  0  0  0  0 ?3 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
324<      27500 ps>        : 0 0 d 117 0 1 1  0  0  0  0 ?3 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
325<      27600 ps>        : 0 0 e 117 0 0 1  0  0  0  0 ?2 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
326<      27700 ps>        : 0 0 e 117 0 1 1  0  0  0  0 ?2 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
327<      27800 ps>        : 0 0 f 117 0 0 1  0  0  0  0 ?1 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
328<      27900 ps>        : 0 0 f 117 0 1 1  0  0  0  0 ?1 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
329<      28000 ps>        : 0 0 0 127 0 0 1  0  0  0  0 ?0 ?1 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
330<      28100 ps>        : 0 0 0 127 0 1 1  0  0  0  0 ?0 ?1 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
331<      28200 ps>        : 0 0 1 127 0 0 1  0  0  0  0 ?1 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
332<      28300 ps>        : 0 0 1 127 0 1 1  0  0  0  0 ?1 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
333<      28400 ps>        : 0 0 2 127 0 0 1  0  0  0  0 ?2 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
334<      28500 ps>        : 0 0 2 127 0 1 1  0  0  0  0 ?2 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
335<      28600 ps>        : 0 0 3 127 0 0 1  0  0  0  0 ?3 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
336<      28700 ps>        : 0 0 3 127 0 1 1  0  0  0  0 ?3 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
337<      28800 ps>        : 0 0 4 127 0 0 1  0  0  0  0 ?4 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
338<      28900 ps>        : 0 0 4 127 0 1 1  0  0  0  0 ?4 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
339<      29000 ps>        : 0 0 5 127 0 0 1  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
340<      29100 ps>        : 0 0 5 127 0 1 1  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
341<      29200 ps>        : 0 0 6 127 0 0 1  0  0  0  0 ?6 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
342<      29300 ps>        : 0 0 6 127 0 1 1  0  0  0  0 ?6 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
343<      29400 ps>        : 0 0 7 127 0 0 1  0  0  0  0 ?7 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
344<      29500 ps>        : 0 0 7 127 0 1 1  0  0  0  0 ?7 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
345<      29600 ps>        : 0 0 8 127 0 0 1  0  0  0  0 ?8 ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
346<      29700 ps>        : 0 0 8 127 0 1 1  0  0  0  0 ?8 ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
347<      29800 ps>        : 0 0 9 127 0 0 1  0  0  0  0 ?9 ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
348<      29900 ps>        : 0 0 9 127 0 1 1  0  0  0  0 ?9 ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
349<      30000 ps>        : 0 0 a 127 0 0 1  0  0  0  0 ?a ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
350<      30100 ps>        : 0 0 a 127 0 1 1  0  0  0  0 ?a ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
351<      30200 ps>        : 0 0 b 127 0 0 1  0  0  0  0 ?b ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
352<      30300 ps>        : 0 0 b 127 0 1 1  0  0  0  0 ?b ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
353<      30400 ps>        : 0 0 c 127 0 0 1  0  0  0  0 ?c ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
354<      30500 ps>        : 0 0 c 127 0 1 1  0  0  0  0 ?c ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
355<      30600 ps>        : 0 0 d 127 0 0 1  0  0  0  0 ?d ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
356<      30700 ps>        : 0 0 d 127 0 1 1  0  0  0  0 ?d ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
357<      30800 ps>        : 0 0 e 127 0 0 1  0  0  0  0 ?e ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
358<      30900 ps>        : 0 0 e 127 0 1 1  0  0  0  0 ?e ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
359<      31000 ps>        : 0 0 f 127 0 0 1  0  0  0  0 ?f ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
360<      31100 ps>        : 0 0 f 127 0 1 1  0  0  0  0 ?f ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
361<      31200 ps>        : 0 0 0 127 0 0 1  0  0  0  0 ?0 ?1 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
362<      31300 ps>        : 0 0 0 127 0 1 1  0  0  0  0 ?0 ?1 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
363<      31400 ps>        : 0 0 1 127 0 0 1  0  0  0  0 ?1 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
364<      31500 ps>        : 0 0 1 127 0 1 1  0  0  0  0 ?1 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
365<      31600 ps>        : 0 0 2 127 0 0 1  0  0  0  0 ?2 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
366<      31700 ps>        : 0 0 2 127 0 1 1  0  0  0  0 ?2 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
367<      31800 ps>        : 0 0 3 127 0 0 1  0  0  0  0 ?3 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
368<      31900 ps>        : 0 0 3 127 0 1 1  0  0  0  0 ?3 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
369<      32000 ps>        : 0 0 4 127 0 0 1  0  0  0  0 ?4 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
370<      32100 ps>        : 0 0 4 127 0 1 1  0  0  0  0 ?4 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
371<      32200 ps>        : 0 0 5 127 0 0 1  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
372<      32300 ps>        : 0 0 5 127 0 1 1  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
373<      32400 ps>        : 0 0 6 127 0 0 1  0  0  0  0 ?6 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
374<      32500 ps>        : 0 0 6 127 0 1 1  0  0  0  0 ?6 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
375<      32600 ps>        : 0 0 7 127 0 0 1  0  0  0  0 ?7 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
376<      32700 ps>        : 0 0 7 127 0 1 1  0  0  0  0 ?7 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
377<      32800 ps>        : 0 0 8 127 0 0 1  0  0  0  0 ?8 ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
378<      32900 ps>        : 0 0 8 127 0 1 1  0  0  0  0 ?8 ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
379<      33000 ps>        : 0 0 9 127 0 0 1  0  0  0  0 ?9 ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
380<      33100 ps>        : 0 0 9 127 0 1 1  0  0  0  0 ?9 ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
381<      33200 ps>        : 0 0 a 127 0 0 1  0  0  0  0 ?a ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
382<      33300 ps>        : 0 0 a 127 0 1 1  0  0  0  0 ?a ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
383<      33400 ps>        : 0 0 b 127 0 0 1  0  0  0  0 ?b ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
384<      33500 ps>        : 0 0 b 127 0 1 1  0  0  0  0 ?b ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
385<      33600 ps>        : 0 0 c 127 0 0 1  0  0  0  0 ?c ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
386<      33700 ps>        : 0 0 c 127 0 1 1  0  0  0  0 ?c ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
387<      33800 ps>        : 0 0 d 127 0 0 1  0  0  0  0 ?d ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
388<      33900 ps>        : 0 0 d 127 0 1 1  0  0  0  0 ?d ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
389<      34000 ps>        : 0 0 e 127 0 0 1  0  0  0  0 ?e ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
390<      34100 ps>        : 0 0 e 127 0 1 1  0  0  0  0 ?e ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
391<      34200 ps>        : 0 0 f 127 0 0 1  0  0  0  0 ?f ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
392<      34300 ps>        : 0 0 f 127 0 1 1  0  0  0  0 ?f ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
393<      34400 ps>        : 0 0 0 167 0 0 0  0  0  0  0 ?0 ?1 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
394<      34500 ps>        : 0 0 0 167 0 1 0  0  0  0  0 ?0 ?1 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
395<      34600 ps>        : 0 0 1 167 0 0 0  0  0  0  0 ?1 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
396<      34700 ps>        : 0 0 1 167 0 1 0  0  0  0  0 ?1 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
397<      34800 ps>        : 0 0 2 167 0 0 0  0  0  0  0 ?2 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
398<      34900 ps>        : 0 0 2 167 0 1 0  0  0  0  0 ?2 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
399<      35000 ps>        : 0 0 3 167 0 0 0  0  0  0  0 ?3 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
400<      35100 ps>        : 0 0 3 167 0 1 0  0  0  0  0 ?3 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
401<      35200 ps>        : 0 0 4 167 0 0 0  0  0  0  0 ?4 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
402<      35300 ps>        : 0 0 4 167 0 1 0  0  0  0  0 ?4 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
403<      35400 ps>        : 0 0 5 167 0 0 0  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
404<      35500 ps>        : 0 0 5 167 0 1 0  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
405<      35600 ps>        : 0 0 6 167 0 0 0  0  0  0  0 ?6 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
406<      35700 ps>        : 0 0 6 167 0 1 0  0  0  0  0 ?6 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
407<      35800 ps>        : 0 0 7 167 0 0 0  0  0  0  0 ?7 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
408<      35900 ps>        : 0 0 7 167 0 1 0  0  0  0  0 ?7 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
409<      36000 ps>        : 0 0 8 167 0 0 0  0  0  0  0 ?8 ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
410<      36100 ps>        : 0 0 8 167 0 1 0  0  0  0  0 ?8 ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
411<      36200 ps>        : 0 0 9 167 0 0 0  0  0  0  0 ?9 ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
412<      36300 ps>        : 0 0 9 167 0 1 0  0  0  0  0 ?9 ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
413<      36400 ps>        : 0 0 a 167 0 0 0  0  0  0  0 ?a ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
414<      36500 ps>        : 0 0 a 167 0 1 0  0  0  0  0 ?a ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
415<      36600 ps>        : 0 0 b 167 0 0 0  0  0  0  0 ?b ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
416<      36700 ps>        : 0 0 b 167 0 1 0  0  0  0  0 ?b ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
417<      36800 ps>        : 0 0 c 167 0 0 0  0  0  0  0 ?c ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
418<      36900 ps>        : 0 0 c 167 0 1 0  0  0  0  0 ?c ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
419<      37000 ps>        : 0 0 d 167 0 0 0  0  0  0  0 ?d ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
420<      37100 ps>        : 0 0 d 167 0 1 0  0  0  0  0 ?d ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
421<      37200 ps>        : 0 0 e 167 0 0 0  0  0  0  0 ?e ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
422<      37300 ps>        : 0 0 e 167 0 1 0  0  0  0  0 ?e ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
423<      37400 ps>        : 0 0 f 167 0 0 0  0  0  0  0 ?f ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
424<      37500 ps>        : 0 0 f 167 0 1 0  0  0  0  0 ?f ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
425<      37600 ps>        : 0 0 0 167 0 0 0  0  0  0  0 ?0 ?1 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
426<      37700 ps>        : 0 0 0 167 0 1 0  0  0  0  0 ?0 ?1 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
427<      37800 ps>        : 0 0 1 167 0 0 0  0  0  0  0 ?1 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
428<      37900 ps>        : 0 0 1 167 0 1 0  0  0  0  0 ?1 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
429<      38000 ps>        : 0 0 2 167 0 0 0  0  0  0  0 ?2 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
430<      38100 ps>        : 0 0 2 167 0 1 0  0  0  0  0 ?2 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
431<      38200 ps>        : 0 0 3 167 0 0 0  0  0  0  0 ?3 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
432<      38300 ps>        : 0 0 3 167 0 1 0  0  0  0  0 ?3 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
433<      38400 ps>        : 0 0 4 167 0 0 0  0  0  0  0 ?4 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
434<      38500 ps>        : 0 0 4 167 0 1 0  0  0  0  0 ?4 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
435<      38600 ps>        : 0 0 5 167 0 0 0  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
436<      38700 ps>        : 0 0 5 167 0 1 0  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
437<      38800 ps>        : 0 0 6 167 0 0 0  0  0  0  0 ?6 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
438<      38900 ps>        : 0 0 6 167 0 1 0  0  0  0  0 ?6 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
439<      39000 ps>        : 0 0 7 167 0 0 0  0  0  0  0 ?7 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
440<      39100 ps>        : 0 0 7 167 0 1 0  0  0  0  0 ?7 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
441<      39200 ps>        : 0 0 8 167 0 0 0  0  0  0  0 ?8 ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
442<      39300 ps>        : 0 0 8 167 0 1 0  0  0  0  0 ?8 ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
443<      39400 ps>        : 0 0 9 167 0 0 0  0  0  0  0 ?9 ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
444<      39500 ps>        : 0 0 9 167 0 1 0  0  0  0  0 ?9 ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
445<      39600 ps>        : 0 0 a 167 0 0 0  0  0  0  0 ?a ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
446<      39700 ps>        : 0 0 a 167 0 1 0  0  0  0  0 ?a ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
447<      39800 ps>        : 0 0 b 167 0 0 0  0  0  0  0 ?b ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
448<      39900 ps>        : 0 0 b 167 0 1 0  0  0  0  0 ?b ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
449<      40000 ps>        : 0 0 c 167 0 0 0  0  0  0  0 ?c ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
450<      40100 ps>        : 0 0 c 167 0 1 0  0  0  0  0 ?c ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
451<      40200 ps>        : 0 0 d 167 0 0 0  0  0  0  0 ?d ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
452<      40300 ps>        : 0 0 d 167 0 1 0  0  0  0  0 ?d ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
453<      40400 ps>        : 0 0 e 167 0 0 0  0  0  0  0 ?e ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
454<      40500 ps>        : 0 0 e 167 0 1 0  0  0  0  0 ?e ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
455<      40600 ps>        : 0 0 f 167 0 0 0  0  0  0  0 ?f ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
456<      40700 ps>        : 0 0 f 167 0 1 0  0  0  0  0 ?f ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
457<      40800 ps>        : 0 0 0 177 0 0 0  0  0  0  0 ?f ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
458<      40900 ps>        : 0 0 0 177 0 1 0  0  0  0  0 ?f ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
459<      41000 ps>        : 0 0 1 177 0 0 0  0  0  0  0 ?e ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
460<      41100 ps>        : 0 0 1 177 0 1 0  0  0  0  0 ?e ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
461<      41200 ps>        : 0 0 2 177 0 0 0  0  0  0  0 ?d ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
462<      41300 ps>        : 0 0 2 177 0 1 0  0  0  0  0 ?d ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
463<      41400 ps>        : 0 0 3 177 0 0 0  0  0  0  0 ?c ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
464<      41500 ps>        : 0 0 3 177 0 1 0  0  0  0  0 ?c ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
465<      41600 ps>        : 0 0 4 177 0 0 0  0  0  0  0 ?b ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
466<      41700 ps>        : 0 0 4 177 0 1 0  0  0  0  0 ?b ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
467<      41800 ps>        : 0 0 5 177 0 0 0  0  0  0  0 ?a ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
468<      41900 ps>        : 0 0 5 177 0 1 0  0  0  0  0 ?a ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
469<      42000 ps>        : 0 0 6 177 0 0 0  0  0  0  0 ?9 ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
470<      42100 ps>        : 0 0 6 177 0 1 0  0  0  0  0 ?9 ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
471<      42200 ps>        : 0 0 7 177 0 0 0  0  0  0  0 ?8 ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
472<      42300 ps>        : 0 0 7 177 0 1 0  0  0  0  0 ?8 ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
473<      42400 ps>        : 0 0 8 177 0 0 0  0  0  0  0 ?7 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
474<      42500 ps>        : 0 0 8 177 0 1 0  0  0  0  0 ?7 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
475<      42600 ps>        : 0 0 9 177 0 0 0  0  0  0  0 ?6 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
476<      42700 ps>        : 0 0 9 177 0 1 0  0  0  0  0 ?6 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
477<      42800 ps>        : 0 0 a 177 0 0 0  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
478<      42900 ps>        : 0 0 a 177 0 1 0  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
479<      43000 ps>        : 0 0 b 177 0 0 0  0  0  0  0 ?4 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
480<      43100 ps>        : 0 0 b 177 0 1 0  0  0  0  0 ?4 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
481<      43200 ps>        : 0 0 c 177 0 0 0  0  0  0  0 ?3 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
482<      43300 ps>        : 0 0 c 177 0 1 0  0  0  0  0 ?3 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
483<      43400 ps>        : 0 0 d 177 0 0 0  0  0  0  0 ?2 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
484<      43500 ps>        : 0 0 d 177 0 1 0  0  0  0  0 ?2 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
485<      43600 ps>        : 0 0 e 177 0 0 0  0  0  0  0 ?1 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
486<      43700 ps>        : 0 0 e 177 0 1 0  0  0  0  0 ?1 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
487<      43800 ps>        : 0 0 f 177 0 0 0  0  0  0  0 ?0 ?1 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
488<      43900 ps>        : 0 0 f 177 0 1 0  0  0  0  0 ?0 ?1 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
489<      44000 ps>        : 0 0 5 007 0 0 0  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
490<      44100 ps>        : 0 0 5 007 0 1 0  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
491<      44200 ps>        : 0 0 5 007 0 0 0  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
492<      44300 ps>        : 0 0 5 007 0 1 0  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
493<      44400 ps>        : 0 0 5 007 0 0 0  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
494<      44500 ps>        : 0 0 5 007 0 1 0  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
495<      44600 ps>        : 0 0 0 106 0 0 0  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
496<      44700 ps>        : 0 0 0 106 0 1 0  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
497<      44800 ps>        : 0 0 1 106 0 0 0  0  0  0  0 ?6 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
498<      44900 ps>        : 0 0 1 106 0 1 0  0  0  0  0 ?6 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
499<      45000 ps>        : 0 0 2 106 0 0 0  0  0  0  0 ?7 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
500<      45100 ps>        : 0 0 2 106 0 1 0  0  0  0  0 ?7 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
501<      45200 ps>        : 0 0 3 106 0 0 0  0  0  0  0 ?8 ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
502<      45300 ps>        : 0 0 3 106 0 1 0  0  0  0  0 ?8 ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
503<      45400 ps>        : 0 0 4 106 0 0 0  0  0  0  0 ?9 ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
504<      45500 ps>        : 0 0 4 106 0 1 0  0  0  0  0 ?9 ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
505<      45600 ps>        : 0 0 5 106 0 0 0  0  0  0  0 ?a ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
506<      45700 ps>        : 0 0 5 106 0 1 0  0  0  0  0 ?a ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
507<      45800 ps>        : 0 0 6 106 0 0 0  0  0  0  0 ?b ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
508<      45900 ps>        : 0 0 6 106 0 1 0  0  0  0  0 ?b ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
509<      46000 ps>        : 0 0 7 106 0 0 0  0  0  0  0 ?c ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
510<      46100 ps>        : 0 0 7 106 0 1 0  0  0  0  0 ?c ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
511<      46200 ps>        : 0 0 8 106 0 0 0  0  0  0  0 ?d ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
512<      46300 ps>        : 0 0 8 106 0 1 0  0  0  0  0 ?d ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
513<      46400 ps>        : 0 0 9 106 0 0 0  0  0  0  0 ?e ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
514<      46500 ps>        : 0 0 9 106 0 1 0  0  0  0  0 ?e ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
515<      46600 ps>        : 0 0 a 106 0 0 0  0  0  0  0 ?f ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
516<      46700 ps>        : 0 0 a 106 0 1 0  0  0  0  0 ?f ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
517<      46800 ps>        : 0 0 b 106 0 0 0  0  0  0  0 ?0 ?1 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
518<      46900 ps>        : 0 0 b 106 0 1 0  0  0  0  0 ?0 ?1 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
519<      47000 ps>        : 0 0 c 106 0 0 0  0  0  0  0 ?1 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
520<      47100 ps>        : 0 0 c 106 0 1 0  0  0  0  0 ?1 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
521<      47200 ps>        : 0 0 d 106 0 0 0  0  0  0  0 ?2 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
522<      47300 ps>        : 0 0 d 106 0 1 0  0  0  0  0 ?2 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
523<      47400 ps>        : 0 0 e 106 0 0 0  0  0  0  0 ?3 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
524<      47500 ps>        : 0 0 e 106 0 1 0  0  0  0  0 ?3 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
525<      47600 ps>        : 0 0 f 106 0 0 0  0  0  0  0 ?4 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
526<      47700 ps>        : 0 0 f 106 0 1 0  0  0  0  0 ?4 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
527<      47800 ps>        : 0 0 4 116 0 0 1  0  0  0  0 ?1 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
528<      47900 ps>        : 0 0 4 116 0 1 1  0  0  0  0 ?1 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
529<      48000 ps>        : 0 0 5 116 0 0 1  0  0  0  0 ?0 ?1 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
530<      48100 ps>        : 0 0 5 116 0 1 1  0  0  0  0 ?0 ?1 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
531<      48200 ps>        : 0 0 6 116 0 0 1  0  0  0  0 ?f ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
532<      48300 ps>        : 0 0 6 116 0 1 1  0  0  0  0 ?f ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
533<      48400 ps>        : 0 0 7 116 0 0 1  0  0  0  0 ?e ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
534<      48500 ps>        : 0 0 7 116 0 1 1  0  0  0  0 ?e ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
535<      48600 ps>        : 0 0 8 116 0 0 1  0  0  0  0 ?d ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
536<      48700 ps>        : 0 0 8 116 0 1 1  0  0  0  0 ?d ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
537<      48800 ps>        : 0 0 9 116 0 0 1  0  0  0  0 ?c ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
538<      48900 ps>        : 0 0 9 116 0 1 1  0  0  0  0 ?c ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
539<      49000 ps>        : 0 0 a 116 0 0 1  0  0  0  0 ?b ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
540<      49100 ps>        : 0 0 a 116 0 1 1  0  0  0  0 ?b ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
541<      49200 ps>        : 0 0 b 116 0 0 1  0  0  0  0 ?a ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
542<      49300 ps>        : 0 0 b 116 0 1 1  0  0  0  0 ?a ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
543<      49400 ps>        : 0 0 c 116 0 0 1  0  0  0  0 ?9 ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
544<      49500 ps>        : 0 0 c 116 0 1 1  0  0  0  0 ?9 ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
545<      49600 ps>        : 0 0 d 116 0 0 1  0  0  0  0 ?8 ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
546<      49700 ps>        : 0 0 d 116 0 1 1  0  0  0  0 ?8 ?0 ?1 ?1 ?* ?* ?0 1 0 1 0 ;
547<      49800 ps>        : 0 0 e 116 0 0 1  0  0  0  0 ?7 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
548<      49900 ps>        : 0 0 e 116 0 1 1  0  0  0  0 ?7 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
549<      50000 ps>        : 0 0 f 116 0 0 1  0  0  0  0 ?6 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
550<      50100 ps>        : 0 0 f 116 0 1 1  0  0  0  0 ?6 ?0 ?0 ?0 ?* ?* ?0 1 0 1 0 ;
551<      50200 ps>        : 0 0 0 116 0 0 1  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
552<      50300 ps>        : 0 0 0 116 0 1 1  0  0  0  0 ?5 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
553<      50400 ps>        : 0 0 1 116 0 0 1  0  0  0  0 ?4 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
554<      50500 ps>        : 0 0 1 116 0 1 1  0  0  0  0 ?4 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
555<      50600 ps>        : 0 0 2 116 0 0 1  0  0  0  0 ?3 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
556<      50700 ps>        : 0 0 2 116 0 1 1  0  0  0  0 ?3 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
557<      50800 ps>        : 0 0 3 116 0 0 1  0  0  0  0 ?2 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
558<      50900 ps>        : 0 0 3 116 0 1 1  0  0  0  0 ?2 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
559<      51000 ps>        : 0 0 8 126 0 0 1  0  0  0  0 ?3 ?0 ?0 ?1 ?* ?* ?1 1 0 1 0 ;
560<      51100 ps>        : 0 0 8 126 0 1 1  0  0  0  0 ?3 ?0 ?0 ?1 ?* ?* ?1 1 0 1 0 ;
561<      51200 ps>        : 0 0 9 126 0 0 1  0  0  0  0 ?4 ?0 ?0 ?1 ?* ?* ?1 1 0 1 0 ;
562<      51300 ps>        : 0 0 9 126 0 1 1  0  0  0  0 ?4 ?0 ?0 ?1 ?* ?* ?1 1 0 1 0 ;
563<      51400 ps>        : 0 0 a 126 0 0 1  0  0  0  0 ?5 ?0 ?0 ?1 ?* ?* ?1 1 0 1 0 ;
564<      51500 ps>        : 0 0 a 126 0 1 1  0  0  0  0 ?5 ?0 ?0 ?1 ?* ?* ?1 1 0 1 0 ;
565<      51600 ps>        : 0 0 b 126 0 0 1  0  0  0  0 ?6 ?0 ?0 ?1 ?* ?* ?1 1 0 1 0 ;
566<      51700 ps>        : 0 0 b 126 0 1 1  0  0  0  0 ?6 ?0 ?0 ?1 ?* ?* ?1 1 0 1 0 ;
567<      51800 ps>        : 0 0 c 126 0 0 1  0  0  0  0 ?7 ?0 ?0 ?1 ?* ?* ?1 1 0 1 0 ;
568<      51900 ps>        : 0 0 c 126 0 1 1  0  0  0  0 ?7 ?0 ?0 ?1 ?* ?* ?1 1 0 1 0 ;
569<      52000 ps>        : 0 0 d 126 0 0 1  0  0  0  0 ?8 ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
570<      52100 ps>        : 0 0 d 126 0 1 1  0  0  0  0 ?8 ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
571<      52200 ps>        : 0 0 e 126 0 0 1  0  0  0  0 ?9 ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
572<      52300 ps>        : 0 0 e 126 0 1 1  0  0  0  0 ?9 ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
573<      52400 ps>        : 0 0 f 126 0 0 1  0  0  0  0 ?a ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
574<      52500 ps>        : 0 0 f 126 0 1 1  0  0  0  0 ?a ?0 ?1 ?0 ?* ?* ?1 1 0 1 0 ;
575<      52600 ps>        : 0 0 0 126 0 0 1  0  0  0  0 ?b ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
576<      52700 ps>        : 0 0 0 126 0 1 1  0  0  0  0 ?b ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
577<      52800 ps>        : 0 0 1 126 0 0 1  0  0  0  0 ?c ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
578<      52900 ps>        : 0 0 1 126 0 1 1  0  0  0  0 ?c ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
579<      53000 ps>        : 0 0 2 126 0 0 1  0  0  0  0 ?d ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
580<      53100 ps>        : 0 0 2 126 0 1 1  0  0  0  0 ?d ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
581<      53200 ps>        : 0 0 3 126 0 0 1  0  0  0  0 ?e ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
582<      53300 ps>        : 0 0 3 126 0 1 1  0  0  0  0 ?e ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
583<      53400 ps>        : 0 0 4 126 0 0 1  0  0  0  0 ?f ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
584<      53500 ps>        : 0 0 4 126 0 1 1  0  0  0  0 ?f ?0 ?1 ?0 ?* ?* ?0 1 0 1 0 ;
585<      53600 ps>        : 0 0 5 126 0 0 1  0  0  0  0 ?0 ?1 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
586<      53700 ps>        : 0 0 5 126 0 1 1  0  0  0  0 ?0 ?1 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
587<      53800 ps>        : 0 0 6 126 0 0 1  0  0  0  0 ?1 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
588<      53900 ps>        : 0 0 6 126 0 1 1  0  0  0  0 ?1 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
589<      54000 ps>        : 0 0 7 126 0 0 1  0  0  0  0 ?2 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
590<      54100 ps>        : 0 0 7 126 0 1 1  0  0  0  0 ?2 ?0 ?0 ?0 ?* ?* ?1 1 0 1 0 ;
591
592end;