source: sources/src/sc_port_ext.h @ 52

Last change on this file since 52 was 52, checked in by meunier, 11 years ago

Code formatting in all source files.

File size: 10.9 KB
Line 
1/*------------------------------------------------------------\
2|                                                             |
3| Tool    :                  systemcass                       |
4|                                                             |
5| File    :                   sc_port_ext.h                   |
6|                                                             |
7| Author  :                 Buchmann Richard                  |
8|                           Taktak Sami                       |
9|                                                             |
10| Date    :                   09_07_2004                      |
11|                                                             |
12\------------------------------------------------------------*/
13
14#ifndef __SC_PORT_EXT_H__
15#define __SC_PORT_EXT_H__
16
17// Define registers writing method
18#include <iostream>
19#include <cstdlib>
20
21#ifdef HAVE_CONFIG_H
22#include "config.h"
23#endif
24
25#include "internal_ext.h"
26#include "port_dependency_ext.h"
27#include "sc_event.h"
28#include "sc_fwd.h"
29#include "sc_interface.h"
30#include "sc_nbdefs.h"
31#include "sc_object.h"
32
33
34//__GNUC__
35//__GNUC_MINOR__
36//__GNUC_PATCHLEVEL__
37//
38#if ((__GNUC__ < 3) || (__GNUC_MINOR__ < 4))
39    #define INLINE __attribute__((always_inline))
40#else
41    /* gcc3.4 doesn't support */ 
42    #define INLINE
43#endif
44
45#include <list>
46
47
48namespace sc_core {
49
50using namespace sc_dt;
51
52const char * get_name(const tab_t * pointer);
53
54#define READ_SIGNAL(value_type_,pointer_) \
55  ((value_type_&) (*((value_type_*) (pointer_))))
56
57
58///////////////////// DEPRECATED
59// C ANSI-only since it is needed to link with extern "C"
60
61
62extern void bind(sc_port_base &, sc_port_base&);
63extern void bind(sc_port_base &, sc_signal_base&);
64extern void bind(sc_signal_base & x);
65extern void bind(sc_port_base & x);
66
67// KIND STRING
68extern const char * const sc_inout_string;
69extern const char * const sc_in_string;
70extern const char * const sc_out_string; 
71
72extern "C" void update(void);
73
74class sc_port_base : public sc_object, public sc_interface {
75
76    protected:
77    typedef sc_port_base base_type;
78
79    public:
80    ///////////
81    // Internal
82    const sc_module & get_module() const;
83    void init();
84    void check_multiwriting2port() const;
85    ///////////     
86
87    friend std::ostream & operator << (std::ostream &, const sc_port_base &);
88
89
90    // LRM
91    static const char * const kind_string;
92    //
93
94    sc_port_base();
95    sc_port_base(const char * name_);
96    explicit sc_port_base(const sc_port_base & parent_);
97    /*virtual */~sc_port_base() {};
98    // bind to a handle
99    void operator () (method_process_t & func) const;
100};
101
102
103template < typename T > class sc_port_b : public sc_port_base
104{
105};
106
107
108// ----------------------------------------------------------------------------
109//  CLASS : sc_in< T >
110//
111// ----------------------------------------------------------------------------
112
113template < typename T >
114class sc_in : public sc_port_base {
115
116    private:
117    typedef T data_type;
118    typedef sc_port_base base_type;
119    typedef sc_in<data_type> this_type;
120    typedef sc_signal<data_type> signal_type;
121
122
123    ///////////
124    // Internal
125    void init();
126    ///////////
127
128    public:
129    // constructors
130    sc_in() : base_type() { init(); }
131
132    explicit sc_in(const char * name_) : base_type(name_) { init(); }
133
134    explicit sc_in(const base_type & parent_) : base_type( parent_ ) { init(); }
135
136    /*
137    // LRM error !
138    //static const char *const kind_string; this is a template !
139    */
140    //  virtual const char *kind () const
141    //  { return "sc_in"; };
142
143    sc_event neg() const { return sc_event(*this, sc_event::NEG); };
144    sc_event pos() const { return sc_event(*this, sc_event::POS); };
145
146    // read the current value
147    inline const T & read() const INLINE; 
148    inline operator const T & () const INLINE;
149
150    // operateur ==
151    inline bool operator == (const T & v) INLINE;
152
153    // bind to in interface
154    void operator () (sc_signal<data_type> & s) {
155        sc_core::bind(*this, s);
156    }
157
158    // binding for hierarchical description
159    void operator () (this_type & parent_) {
160        sc_core::bind(*this, parent_);
161    }
162
163    void operator () (sc_out<data_type> & o) {
164        sc_core::bind(*this, o);
165    }
166
167    /*virtual */~sc_in() {};
168
169};
170
171
172template < typename T >
173void sc_in< T >::init() { 
174    set_kind(sc_in_string);
175    sc_interface::init(sizeof(data_type)); 
176}
177
178
179// read
180template < typename T > inline 
181const T & sc_in< T >::read() const { 
182#ifdef DUMP_READ
183    std::cerr << "read " << READ_SIGNAL(const T &, get_pointer())
184     << " on signal " << name() << "\n";
185#endif
186    return READ_SIGNAL(const T, get_pointer());
187}
188 
189
190template < typename T > inline 
191sc_in< T >::operator const T & () const {
192    return sc_in< T >::read();
193}
194
195
196template < typename T > inline 
197bool sc_in< T >::operator == (const T & v) {
198    return sc_in< T >::read() == v;
199}
200
201
202
203// ----------------------------------------------------------------------------
204//  CLASS : sc_inout< T >
205//
206// ----------------------------------------------------------------------------
207
208template < typename  T >
209class sc_inout : public sc_port_base {
210
211  ///////////
212  // Internal
213    protected:
214    void init();
215    T val;
216
217    private:
218    typedef T data_type;
219
220    typedef sc_inout<data_type> this_type;
221    typedef sc_signal<data_type> signal_type;
222
223   
224    public:
225    // contructeurs
226    sc_inout() : base_type() { init (); };
227
228    explicit sc_inout(const char * name_) : base_type(name_) { init(); };
229
230    /*
231    // LRM error !
232    //static const char *const kind_string; this is a template !
233    */
234
235    // read the current value
236    inline const T & read() const INLINE;
237    // write the new value
238    inline void write(const T &) INLINE;
239    template < int W > inline void write(const sc_uint< W > & v) {
240        sc_inout< T >::write(v.read());
241    }
242
243    inline operator const T & () const INLINE;
244
245    inline sc_inout< T > & operator = (const T & a) INLINE;
246    inline sc_inout< T > & operator = (const sc_signal< T > & a) INLINE;
247
248    // operateur ==
249    inline bool operator == (const bool & v) INLINE;
250
251    // bind to in interface
252    void operator () (sc_signal<data_type> & s) {
253        bind(*this);
254        bind(*this, s);
255    }
256
257    void operator () (this_type & parent_) {
258        bind(*this, parent_);
259    }
260
261    /*virtual */~sc_inout() {};
262};
263
264
265template < typename T >
266void sc_inout< T >::init() {
267    set_pointer((tab_t *) (void *) &val);
268    sc_object::set_kind(sc_inout_string);
269    sc_interface::init(sizeof(data_type)); 
270    /*ref*/ val = (0);
271    //sc_inout::write (0);
272    /* Fix :
273     * FSM checker generates an error at runtime
274     */
275
276}
277
278
279// read
280template < typename T >
281inline const T & sc_inout< T >::read() const {
282#ifdef DUMP_READ
283    std::cerr << "read " << READ_SIGNAL(const T, get_pointer()) // val
284        << " on signal " << name () << "\n";
285#endif
286    //  return val;
287    return READ_SIGNAL(const T, get_pointer());
288}
289
290
291// write the new value
292template < typename T >
293inline void sc_inout< T >::write(const T & value_) {
294#ifdef DUMP_WRITE
295    std::cerr << "write " << value_ << " on in/out port (writing into a signal) '" << name() << "'\n";
296#endif
297    //  T& ref = *(T*)(get_pointer());
298#ifndef USE_PORT_DEPENDENCY
299    unstable |= (value_) != val; //ref;
300#endif
301    /*ref*/ val = (value_);
302}
303
304
305template < typename T >
306inline sc_inout< T >::operator const T & () const {
307    return sc_inout< T >::read();
308}
309
310
311template < typename T >
312inline sc_inout< T > & sc_inout< T >::operator = (const T & a) {
313    sc_inout< T >::write(a);
314    return *this;
315}
316
317
318template < typename T >
319inline sc_inout< T > & sc_inout< T >::operator = (const sc_signal< T > & a) {
320    sc_inout< T >::write(a.read());
321    return *this;
322}
323
324
325/*
326   template <typename T>
327   inline
328   sc_inout<T>& sc_inout<T>::operator = ( const sc_port_base& a )
329   { write( a.read() ); return *this; }
330   */
331
332
333template < typename T > inline 
334bool sc_inout< T >::operator == (const bool & v) {
335    return sc_inout< T >::read() == v;
336}
337
338
339// ----------------------------------------------------------------------------
340//  CLASS : sc_out<T>
341//
342// ----------------------------------------------------------------------------
343// Official SystemC implementation notes :
344// "sc_out can also read from its port, hence no difference with sc_inout.
345// For debugging reasons, a class is provided instead of a define."
346
347template < typename T >
348class sc_out : public sc_inout< T > {
349
350    ///////////
351    // Internal
352    void init();
353    ///////////
354 
355    public:
356    typedef T data_type;
357    typedef sc_inout< T > base_type;
358    typedef sc_out<data_type> this_type;
359    typedef sc_signal<data_type> signal_type;
360
361
362    // constructors & destructor
363    sc_out() : base_type() {
364        init();
365    }
366
367    explicit sc_out(const char * name_) : base_type(name_) {
368        init();
369    }
370
371    sc_out(this_type & parent_);
372    sc_out(const char * name_, this_type & parent_);
373
374    /*
375    // LRM error !
376    //static const char *const kind_string; this is a template !
377    */
378    //virtual const char *kind () const
379    //{ return "sc_out"; };
380
381
382    inline this_type & operator = (const data_type & a) INLINE;
383    inline bool operator == (const bool & v) INLINE;
384
385    // bind to in interface
386    void operator () (sc_signal<data_type> & s) {
387        bind(*this, s);
388    }
389
390    void operator () (this_type & parent_) {
391        bind(*this, parent_);
392    }
393
394    //////////////////////
395    // Systemcass specific
396    void operator () (sc_port_base & o) {
397        set_port_dependency(&o, (sc_port_base &) (*this));
398    }
399    //////////////////////
400
401
402    /*virtual */~sc_out() {};
403
404    private:
405    // disabled
406    sc_out(const this_type &);
407
408};
409
410
411
412template< typename T >
413void sc_out< T >::init() {
414    sc_inout< T >::init();
415    //  tab_t *t = &(sc_inout<T>::val);
416    //  sc_interface::set_pointer (t);
417    sc_object::set_kind(sc_out_string);
418    //  sc_interface::init (sizeof (data_type));
419    //  /*ref*/ sc_inout<T>::val = 0;
420    //sc_inout<T>::write (0);
421    /* Fix :
422     * FSM checker generates an error at runtime
423     */
424}
425
426
427template< typename T >
428inline sc_out< T > & sc_out< T >::operator = (const data_type & a) {
429    sc_out< T >::write(a);
430    return *this;
431}
432
433
434template< typename T >
435inline bool sc_out< T >::operator == (const bool & v) {
436    return sc_out< T >::read() == v;
437}
438
439
440// Dumps
441template< typename T >
442inline std::ostream & operator << ( std::ostream & os, const sc_in< T > & r) {
443    return os << r.read();
444}
445
446
447// Add '&'
448template< class T >
449inline std::ostream & operator << ( std::ostream & os, const sc_inout< T > & r) {
450    return os << r.read();
451}
452
453
454template< class T >
455inline std::ostream & operator << ( std::ostream & os, const sc_signal< T > & r) {
456    return os << r.read();
457}
458
459
460// Declarations
461typedef sc_in<bool> sc_in_clk;
462
463#undef INLINE
464
465#undef READ_SIGNAL
466
467} // end of sc_core namespace
468
469using sc_core::sc_in_clk;
470
471#endif /* __SC_PORT_EXT_H__ */
472
473/*
474# Local Variables:
475# tab-width: 4;
476# c-basic-offset: 4;
477# c-file-offsets:((innamespace . 0)(inline-open . 0));
478# indent-tabs-mode: nil;
479# End:
480#
481# vim: filetype=cpp:expandtab:shiftwidth=4:tabstop=4:softtabstop=4
482*/
483
Note: See TracBrowser for help on using the repository browser.