source: sources/test_regression/07122006a/system.cpp @ 55

Last change on this file since 55 was 55, checked in by meunier, 11 years ago

Tried to clean the test_regression directory:

  • Code formatting
  • Supressed warnings
  • Made comprehensible outputs
  • Factorized Makefiles

There's still a lot to do (many tests don't pass for either good or bad reasons)

File size: 835 bytes
Line 
1
2
3#include <signal.h>
4#include <iostream>
5#include <fstream>
6
7#include "systemc.h"
8#include "test.h"
9
10#include "struct_test.h"
11
12
13using namespace std;
14
15
16int sc_main(int argc, char * argv[]) {
17    sc_clock clk("clk");
18    sc_signal<bool> resetn("resetn");
19    sc_signal<int>  in ("in");
20    sc_signal<int>  out("out");
21
22    test test("test");
23    test.clk(clk);
24    test.resetn(resetn);
25
26    test.i(in);
27    test.o(out);
28
29    sc_start(sc_time(0, sc_core::SC_NS));
30
31    resetn = false;
32    sc_start(sc_time(3, sc_core::SC_NS));
33    resetn = true;
34    sc_start(sc_time(10, sc_core::SC_NS));
35
36    return EXIT_SUCCESS;
37}
38
39/*
40# Local Variables:
41# tab-width: 4;
42# c-basic-offset: 4;
43# c-file-offsets:((innamespace . 0)(inline-open . 0));
44# indent-tabs-mode: nil;
45# End:
46#
47# vim: filetype=cpp:expandtab:shiftwidth=4:tabstop=4:softtabstop=4
48*/
49
Note: See TracBrowser for help on using the repository browser.