source: sources/test_regression/09092005a/system.cpp @ 55

Last change on this file since 55 was 55, checked in by meunier, 11 years ago

Tried to clean the test_regression directory:

  • Code formatting
  • Supressed warnings
  • Made comprehensible outputs
  • Factorized Makefiles

There's still a lot to do (many tests don't pass for either good or bad reasons)

File size: 605 bytes
Line 
1
2#include <iostream>
3
4#include "systemc.h"
5#include "test.h"
6
7
8using namespace std;
9
10#define ADDRSIZE   32
11#define OFFSETSIZE 6
12#define BPFSIZE    3
13
14
15int sc_main (int argc, char ** argv) {
16
17    sc_uint<ADDRSIZE> LINEADDR_MASK = ~(((sc_uint<ADDRSIZE>) ~0x0) >> (ADDRSIZE - OFFSETSIZE - BPFSIZE));
18    cout << "LINEADDR_MASK == " << std::hex << LINEADDR_MASK << endl;
19
20    return 0;
21}
22
23
24/*
25# Local Variables:
26# tab-width: 4;
27# c-basic-offset: 4;
28# c-file-offsets:((innamespace . 0)(inline-open . 0));
29# indent-tabs-mode: nil;
30# End:
31#
32# vim: filetype=cpp:expandtab:shiftwidth=4:tabstop=4:softtabstop=4
33*/
34
Note: See TracBrowser for help on using the repository browser.