source: sources/test_regression/30032005c/system.cpp @ 55

Last change on this file since 55 was 55, checked in by meunier, 11 years ago

Tried to clean the test_regression directory:

  • Code formatting
  • Supressed warnings
  • Made comprehensible outputs
  • Factorized Makefiles

There's still a lot to do (many tests don't pass for either good or bad reasons)

File size: 2.6 KB
Line 
1
2#include <signal.h>
3
4#include "systemc.h"
5#include "test.h"
6
7
8using namespace std;
9
10
11struct M1_1i1o : sc_module {
12    sc_in_clk    clk;
13    sc_in<bool>  i1;
14    sc_out<bool> o1;
15
16    void eval_mealy1() {
17        o1 = ~i1;
18    }
19
20    SC_CTOR (M1_1i1o) : clk("clk"), i1("i1"), o1("o1") {
21        SC_METHOD(eval_mealy1);
22        sensitive << clk.neg();
23        sensitive << i1;
24        dont_initialize();
25#ifdef SYSTEMCASS_SPECIFIC
26        o1(i1);
27#endif
28    }
29
30};
31
32
33struct M1_3i2o : sc_module {
34    sc_in_clk    clk;
35    sc_in<bool>  i1;
36    sc_in<bool>  i2;
37    sc_in<bool>  i3;
38    sc_out<bool> o1;
39    sc_out<bool> o2;
40
41    void eval_mealy1() {
42        o1 = ~i1 & i2;
43        o2 = ~i2 & i3;
44    }
45
46
47    SC_CTOR (M1_3i2o) : clk("clk"), i1("i1"), i2("i2"), i3("i3"), o1("o1"), o2("o2") {
48        SC_METHOD(eval_mealy1);
49        sensitive << clk.neg();
50        sensitive << i1 << i2;
51        sensitive << i3;
52        dont_initialize();
53#ifdef SYSTEMCASS_SPECIFIC
54        o1(i1);
55        o1(i2);
56        o2(i2);
57        o2(i3);
58#endif
59    }
60
61};
62
63
64struct M2_1i1o : sc_module {
65    sc_in_clk    clk;
66    sc_in<bool>  i1;
67    sc_out<bool> o1;
68
69    sc_signal<bool> reg;
70
71    void tr_moore1() {
72        reg = ~i1;
73    }
74
75    void gen_moore1() {
76        o1 = ~reg;
77    };
78
79    SC_CTOR (M2_1i1o) : clk("clk"), i1("i1"), o1("o1") {
80        SC_METHOD(tr_moore1);
81        sensitive << clk.pos();
82        dont_initialize();
83
84        SC_METHOD(gen_moore1);
85        sensitive << clk.neg();
86        dont_initialize();
87    }
88
89};
90
91
92int sc_main (int argc, char * argv[]) {
93    sc_clock signal_clk("my_clock",1, 0.5);
94    sc_signal<bool> s1 ("s01"),
95        s2 ("s02"),
96        s3 ("s03"),
97        s4 ("s04"),
98        s5 ("s05"),
99        s6 ("s06"),
100        s7 ("s07"),
101        s8 ("s08"),
102        s9 ("s09"),
103        s10("s10"),
104        s11("s11"),
105        s12("s12"),
106        s13("s13"),
107        s14("s14"),
108        s15("s15");
109
110
111    M1_3i2o a("a");
112    M1_1i1o b("b");
113    M1_3i2o c("c");
114    M1_1i1o d("d");
115    M1_1i1o e("e");
116
117    a.clk(signal_clk);
118    b.clk(signal_clk);
119    c.clk(signal_clk);
120    d.clk(signal_clk);
121    e.clk(signal_clk);
122
123    a.i1(s1);
124
125    a.o1(s2);
126    b.i1(s2);
127
128    b.o1(s3);
129    c.i1(s3);
130
131    c.o1(s4);
132
133    a.i2(s5);
134
135    a.o2(s6);
136    c.i2(s6);
137    d.i1(s6);
138
139    c.o2(s7);
140
141    a.i3(s8);
142
143    d.o1(s9);
144    e.i1(s9);
145
146    e.o1(s10);
147    c.i3(s10);
148
149    // Init & run
150    sc_start(sc_time(0, sc_core::SC_NS));
151
152    return EXIT_SUCCESS;
153}
154
155
156/*
157# Local Variables:
158# tab-width: 4;
159# c-basic-offset: 4;
160# c-file-offsets:((innamespace . 0)(inline-open . 0));
161# indent-tabs-mode: nil;
162# End:
163#
164# vim: filetype=cpp:expandtab:shiftwidth=4:tabstop=4:softtabstop=4
165*/
166
Note: See TracBrowser for help on using the repository browser.