Changeset 23 for sources


Ignore:
Timestamp:
Apr 16, 2009, 2:47:08 PM (15 years ago)
Author:
buchmann
Message:

Fix the regression test

Location:
sources/test_regression
Files:
4 edited

Legend:

Unmodified
Added
Removed
  • sources/test_regression/02052006/system.cpp

    r1 r23  
    1313
    1414struct test : sc_module {
    15   int                             reg;
     15  int32_t                         reg;
    1616  sc_signal<bool>                 reg_bool;
    1717  sc_signal<int>                  reg_int;
     
    3838      ASSERT(((unsigned int)reg)    == reg_unsigned_int   .read());
    3939      ASSERT(((char)  reg)        == reg_char  .read());
     40#if 0
     41      cout << (double)reg << " " << reg_double.read() << endl;
     42      cout << sizeof (double) << " " << sizeof (reg_double.read()) << endl;
     43#endif
    4044      ASSERT(((double)reg)          == reg_double.read());
    4145      ASSERT(((long)  reg)          == reg_long  .read());
     
    4852      ASSERT(((signed int) reg & 0xFFFFFFFF) == (signed int) (reg_i32 .read()));
    4953      ASSERT(((signed int) reg & 0x0000FFFF) == (signed int) (reg_i16 .read()));
    50       ASSERT(((signed int) reg & 0x0000003F)  == (signed int) (reg_i6  .read()));
     54      ASSERT(((signed int) reg & 0x0000003F) == (signed int) (reg_i6  .read()));
    5155      reg        = reg + 1;
    5256      reg_bool   = reg & 1;
     
    5458      reg_unsigned_int = reg;
    5559      reg_char   = reg;
    56       reg_double = reg;
     60      reg_double .write(reg);
    5761      reg_long   = reg;
    5862      reg_ui32   = reg;
     
    8084
    8185  SC_HAS_PROCESS(test);
    82         test (sc_module_name n) : sc_module (n),
     86    test (sc_module_name n) : sc_module (n),
    8387    clk("clk")
    8488  {
    85                 SC_METHOD(trans);
    86                 sensitive << clk.pos();
     89    SC_METHOD(trans);
     90    sensitive << clk.pos();
    8791    dont_initialize();
    88         };
     92  };
    8993};
    9094
    9195int sc_main (int argc, char *argv[])
    9296{
    93         sc_clock        signal_clk("my_clock",1, 0.5);
     97  sc_clock        signal_clk("my_clock",1, 0.5);
    9498  sc_signal<bool> resetn("resetn");
    9599
     
    98102  test1.resetn (resetn);
    99103
    100         // Init & run
    101         sc_start (0);
     104  // Init & run
     105  sc_start (0);
    102106
    103107  resetn = false;
    104         sc_start (4);
     108  sc_start (4);
    105109  resetn = true;
    106110  sc_start (100);
    107111
    108         return EXIT_SUCCESS;
     112  return EXIT_SUCCESS;
    109113}
    110114
  • sources/test_regression/19042005/system.cpp

    r1 r23  
    128128        sc_trace(system_trace_file, signal_clk, "clk");
    129129
    130   bool   b1 = 0;
    131   long  l1 = 0;
     130  bool     b1 = 0;
     131  uint64_t l1 = 0;
    132132
    133133#if 0
  • sources/test_regression/19042005/system_systemcass.x-60_reference.vcd

    r1 r23  
    77$var wire    1  aaa  clk       $end
    88$var wire    1  aab  b1       $end
    9 $var wire   32  aac  l1 [31:0]       $end
     9$var wire   64  aac  l1 [63:0]       $end
    1010$var wire    1  aad  test1.i1       $end
    1111$var wire    8  aae  test1.i2 [7:0]       $end
  • sources/test_regression/28102005/system.cpp

    r18 r23  
    2727check_time (int i)
    2828{
    29         const sc_time &t = sc_time_stamp ();
     29  const sc_time &t = sc_time_stamp ();
    3030  CERR(i);
    3131  CERR(t.to_double());
     32#ifdef SYSTEMCASS_SPECIFIC
     33  ASSERT((int) (t.to_double ()) == i);
     34#else
    3235  ASSERT((int) (t.to_double ()) == i * 1000);
     36#endif
    3337  CERR(t.to_seconds ());
    3438        double seconds = t.to_seconds()*1000000000;
     
    3741  char s[256];
    3842  const char *unit;
     43#ifdef SYSTEMCASS_SPECIFIC
     44  unit = "NS";
     45#else
    3946  if (i == 0)
    4047    unit = "s";
     
    4350  else
    4451    unit = "ns";
     52#endif
    4553  sprintf (s, "%d %s", i,unit);
    4654  CERR(s);
     
    5563
    5664  check_time (0);
    57         sc_start (0);
     65  sc_start (0);
    5866
    5967  check_time (0);
    60         sc_start (1);
     68  sc_start (1);
    6169  check_time (1);
    6270
    63         sc_start (15);
     71  sc_start (15);
    6472  check_time (16);
    6573
    66         sc_start (7);
     74  sc_start (7);
    6775  check_time (23);
    6876
    69         sc_start (100);
     77  sc_start (100);
    7078  check_time (123);
    7179
    72         sc_start (1000);
     80  sc_start (1000);
    7381  check_time (1123);
    7482  cerr << "Test OK.\n";
Note: See TracChangeset for help on using the changeset viewer.