Timeline



May 18, 2015:

12:01 PM Changeset [993] by cfuguet
Reintroduce the -SOFT and -DISK simulator arguments to pass the ROM …

May 14, 2015:

10:45 PM Changeset [992] by alain
Introduce a new driver for SD Card using the 4bits wide SD bus. THere …
2:20 PM Changeset [991] by cfuguet
reconf: force the python version 2.7 in simulation scripts.
2:20 PM Changeset [990] by cfuguet
reconf: fix a bug on the PRIO register of the vci_xicu component - …

May 13, 2015:

10:28 AM Changeset [989] by cfuguet
reconf: allow debugging of the vci_simple_rom component in the …
10:28 AM Changeset [988] by cfuguet
reconf: introducing a mechanism to deactivate the watchdog timer on …

May 3, 2015:

6:26 PM Changeset [987] by alain
Housekeeeping…

Apr 19, 2015:

5:19 PM Changeset [986] by cfuguet
reconf: some improvements on tsar_generic_iob platform
5:19 PM Changeset [985] by cfuguet
reconf: mask the global bits of the configuration segment - This …
5:19 PM Changeset [984] by cfuguet
bugfixes on vci_io_bridge: Several bugfixes concerning the use of the …
5:19 PM Changeset [983] by cfuguet
bugfix in vci_block_device_tsar: - fix: writes on the BUFFER …
Note: See TracTimeline for information about the timeline view.