Changeset 53


Ignore:
Timestamp:
Sep 6, 2007, 6:30:49 PM (17 years ago)
Author:
rosiere
Message:
  • Banc de registre multi banc
  • Banc de registre générique.
Location:
trunk/IPs/systemC/processor/Morpheo
Files:
109 added
1 deleted
52 edited

Legend:

Unmodified
Added
Removed
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Constant/Constant_OpenRISC.h

    r15 r53  
    2121  //----------------------------------------------------[ Operation ]-----
    2222  typedef uint8_t       Toperation_t;
    23 #define                 _nb_operation                 32
    24 #define                 _size_operation               5
     23// #define                 _nb_operation                 32
     24// #define                 _size_operation               5
    2525
    2626#define                 _operation_none               0x0
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Front_end/Front_end/Prediction_unit/Direction/Meta_Predictor/Makefile.deps

    r48 r53  
    77#
    88# DIR_MORPHEO must be defined
     9
     10Meta_Predictor                  = yes
    911
    1012ifndef Behavioural
     
    1719include $(DIR_MORPHEO)/Behavioural/Core/Multi_Front_end/Front_end/Prediction_unit/Direction/Meta_Predictor/Meta_Predictor_Glue/Makefile.deps
    1820endif
    19 
    20 Meta_Predictor                  = yes
    2121
    2222#-----[ Library ]------------------------------------------
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Front_end/Front_end/Prediction_unit/Direction/Meta_Predictor/Meta_Predictor_Glue/Makefile.deps

    r48 r53  
    88# DIR_MORPHEO must be defined
    99
     10Meta_Predictor_Glue                     = yes
     11
    1012ifndef Behavioural
    1113include                         $(DIR_MORPHEO)/Behavioural/Makefile.deps
    1214endif
    13 
    14 Meta_Predictor_Glue                     = yes
    1515
    1616#-----[ Library ]------------------------------------------
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Front_end/Front_end/Prediction_unit/Direction/Meta_Predictor/Two_Level_Branch_Predictor/Branch_History_Table/Makefile.deps

    r48 r53  
    77#
    88# DIR_MORPHEO must be defined
     9
     10Branch_History_Table                    = yes
    911
    1012ifndef Behavioural
     
    1719include                         $(DIR_MORPHEO)/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/Makefile.deps
    1820endif
    19 
    20 Branch_History_Table                    = yes
    2121
    2222#-----[ Library ]------------------------------------------
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Front_end/Front_end/Prediction_unit/Direction/Meta_Predictor/Two_Level_Branch_Predictor/Makefile.deps

    r48 r53  
    77#
    88# DIR_MORPHEO must be defined
     9
     10Two_Level_Branch_Predictor                      = yes
    911
    1012ifndef Behavioural
     
    2022include $(DIR_MORPHEO)/Behavioural/Core/Multi_Front_end/Front_end/Prediction_unit/Direction/Meta_Predictor/Two_Level_Branch_Predictor/Two_Level_Branch_Predictor_Glue/Makefile.deps
    2123endif
    22 
    23 Two_Level_Branch_Predictor                      = yes
    2424
    2525#-----[ Library ]------------------------------------------
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Front_end/Front_end/Prediction_unit/Direction/Meta_Predictor/Two_Level_Branch_Predictor/Pattern_History_Table/Makefile.deps

    r48 r53  
    77#
    88# DIR_MORPHEO must be defined
     9
     10Pattern_History_Table                   = yes
    911
    1012ifndef Behavioural
     
    1719include                         $(DIR_MORPHEO)/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/Makefile.deps
    1820endif
    19 
    20 Pattern_History_Table                   = yes
    2121
    2222#-----[ Library ]------------------------------------------
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Core/Multi_Front_end/Front_end/Prediction_unit/Direction/Meta_Predictor/Two_Level_Branch_Predictor/Two_Level_Branch_Predictor_Glue/Makefile.deps

    r48 r53  
    88# DIR_MORPHEO must be defined
    99
     10Two_Level_Branch_Predictor_Glue                 = yes
     11
    1012ifndef Behavioural
    1113include                         $(DIR_MORPHEO)/Behavioural/Makefile.deps
    1214endif
    13 
    14 Two_Level_Branch_Predictor_Glue                 = yes
    1515
    1616#-----[ Library ]------------------------------------------
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Counter/Makefile.deps

    r48 r53  
    88# DIR_MORPHEO must be defined
    99
     10Counter                 = yes
     11
    1012ifndef Behavioural
    1113include                         $(DIR_MORPHEO)/Behavioural/Makefile.deps
    1214endif
    13 
    14 Counter                 = yes
    1515
    1616#-----[ Library ]------------------------------------------
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Counter/src/Counter.cpp

    r42 r53  
    4848
    4949#ifdef SYSTEMC
     50
     51#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
    5052    SC_METHOD (transition);
    5153    dont_initialize ();
    5254    sensitive_pos << *(in_CLOCK);
     55#endif
    5356
    5457    SC_METHOD (genMealy);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Group/Makefile.deps

    r48 r53  
    88# DIR_MORPHEO must be defined
    99
     10Group                   = yes
     11
    1012ifndef Behavioural
    1113include                         $(DIR_MORPHEO)/Behavioural/Makefile.deps
    1214endif
    13 
    14 Group                   = yes
    1515
    1616#-----[ Library ]------------------------------------------
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/Makefile.deps

    r48 r53  
    88# DIR_MORPHEO must be defined
    99
     10RegisterFile_Monolithic                 = yes
     11
    1012ifndef Behavioural
    1113include                         $(DIR_MORPHEO)/Behavioural/Makefile.deps
     
    1517endif
    1618
    17 RegisterFile_Monolithic                 = yes
    1819#-----[ Library ]------------------------------------------
    1920RegisterFile_Monolithic_LIBRARY         =       -lRegisterFile_Monolithic               \
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/include/Parameters.h

    r44 r53  
    2525  public : const uint32_t _nb_word      ;
    2626  public : const uint32_t _size_word    ;
     27  public : const uint32_t _size_address ;
    2728
    2829  public : Parameters (uint32_t nb_port_read ,
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/include/Types.h

    r44 r53  
    1919  typedef uint32_t Taddress_t;
    2020  typedef uint32_t Tdata_t;
    21 
     21 
    2222}; // end namespace registerfile_monolithic
    2323}; // end namespace registerfile
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/src/Parameters.cpp

    r15 r53  
    2222    _nb_port_write     (nb_port_write),
    2323    _nb_word           (nb_word      ),
    24     _size_word         (size_word    )
     24    _size_word         (size_word    ),
     25    _size_address      (static_cast<uint32_t>(log2(_nb_word)))
    2526  {
    2627    test();
     
    3132    _nb_port_write     (param._nb_port_write),
    3233    _nb_word           (param._nb_word      ),
    33     _size_word         (param._size_word    )
     34    _size_word         (param._size_word    ),
     35    _size_address      (param._size_address )
    3436  {
    3537    test();
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/src/RegisterFile_Monolithic_allocation.cpp

    r42 r53  
    6060         in_READ_VAL     [i]  = interface->set_signal_valack_in        ("val"    , VAL);
    6161        out_READ_ACK     [i]  = interface->set_signal_valack_out       ("ack"    , ACK);
    62          in_READ_ADDRESS [i]  = interface->set_signal_in  <Taddress_t> ("address", static_cast<uint32_t>(log2(_param._nb_word)));
     62         in_READ_ADDRESS [i]  = interface->set_signal_in  <Taddress_t> ("address", _param._size_address);
    6363        out_READ_DATA    [i]  = interface->set_signal_out <Tdata_t   > ("data"   , _param._size_word);
    6464      }
     
    8383         in_WRITE_VAL     [i]  = interface->set_signal_valack_in        ("val"    , VAL);
    8484        out_WRITE_ACK     [i]  = interface->set_signal_valack_out       ("ack"    , ACK);
    85          in_WRITE_ADDRESS [i]  = interface->set_signal_in  <Taddress_t> ("address", static_cast<uint32_t>(log2(_param._nb_word)));
     85         in_WRITE_ADDRESS [i]  = interface->set_signal_in  <Taddress_t> ("address", _param._size_address);
    8686         in_WRITE_DATA    [i]  = interface->set_signal_in  <Tdata_t   > ("data"   , _param._size_word);
    8787      }
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/src/RegisterFile_Monolithic_genMealy_read.cpp

    r15 r53  
    1717  void RegisterFile_Monolithic::genMealy_read (void)
    1818  {
    19     log_printf(FUNC,Register_File,"genMealy_read","Begin");
     19    log_printf(FUNC,RegisterFile,"genMealy_read","Begin");
    2020
    2121#ifdef STATISTICS
     
    3131            Tdata_t    data    = REGISTER_READ(reg_DATA[address]);
    3232
    33             log_printf(TRACE,Register_File,"genMealy_read","[%d] -> %.8x",static_cast<uint32_t>(address),static_cast<uint32_t>(data));
     33            log_printf(TRACE,RegisterFile,"genMealy_read","[%d] -> %.8x",static_cast<uint32_t>(address),static_cast<uint32_t>(data));
    3434
    3535#ifdef STATISTICS
     
    4141        else
    4242          {
    43             //log_printf(TRACE,Register_File,"genMealy_read","Read  [%d] : No   transaction",i);
     43            //log_printf(TRACE,RegisterFile,"genMealy_read","Read  [%d] : No   transaction",i);
    4444            PORT_WRITE(out_READ_DATA[i],0);
    4545          }
    4646      }
    47     log_printf(FUNC,Register_File,"genMealy_read","End");
     47    log_printf(FUNC,RegisterFile,"genMealy_read","End");
    4848       
    4949  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/RegisterFile/RegisterFile_Monolithic/src/RegisterFile_Monolithic_transition.cpp

    r41 r53  
    1616  void RegisterFile_Monolithic::transition (void)
    1717  {
    18     log_printf(FUNC,Register_File,"transition","Begin");
     18    log_printf(FUNC,RegisterFile,"transition","Begin");
    1919#ifdef STATISTICS
    2020    _stat_nb_write = 0;
     
    3333            Tdata_t    data    = PORT_READ(in_WRITE_DATA   [i]);
    3434           
    35             log_printf(TRACE,Register_File,"transition","[%d] <- %.8x",static_cast<uint32_t>(address),static_cast<uint32_t>(data));
     35            log_printf(TRACE,RegisterFile,"transition","[%d] <- %.8x",static_cast<uint32_t>(address),static_cast<uint32_t>(data));
    3636
    3737            // Write in registerFile
     
    4747    vhdl_testbench_transition ();
    4848#endif
    49     log_printf(FUNC,Register_File,"transition","End");
     49    log_printf(FUNC,RegisterFile,"transition","End");
    5050  };
    5151
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Select/Select_Priority_Fixed/Makefile.deps

    r48 r53  
    88# DIR_MORPHEO must be defined
    99
     10Select_Priority_Fixed                   = yes
     11
    1012ifndef Behavioural
    1113include                         $(DIR_MORPHEO)/Behavioural/Makefile.deps
    1214endif
    13 
    14 Select_Priority_Fixed                   = yes
    1515
    1616#-----[ Library ]------------------------------------------
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Shifter/Makefile.deps

    r48 r53  
    88# DIR_MORPHEO must be defined
    99
     10Shifter                         = yes
     11
    1012ifndef Behavioural
    1113include                         $(DIR_MORPHEO)/Behavioural/Makefile.deps
    1214endif
    13 
    14 Shifter                         = yes
    1515
    1616#-----[ Library ]------------------------------------------
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Generic/Victim/Victim_Pseudo_LRU/Makefile.deps

    r48 r53  
    88# DIR_MORPHEO must be defined
    99
     10Victim_Pseudo_LRU                       = yes
     11
    1012ifndef Behavioural
    1113include                         $(DIR_MORPHEO)/Behavioural/Makefile.deps
     
    1517endif
    1618
    17 Victim_Pseudo_LRU                       = yes
    1819#-----[ Library ]------------------------------------------
    1920Victim_Pseudo_LRU_LIBRARY               =       -lVictim_Pseudo_LRU             \
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Makefile.Common

    r48 r53  
    99include                         $(MORPHEO_TOPLEVEL)/Makefile.tools
    1010
    11 #-----[ Directory ]----------------------------------------
     11#-----[ Directory ]----------------------------------------
    1212DIR_INC                         = include
    1313DIR_SRC                         = src
     
    1515DIR_SCRIPT                      = $(MORPHEO_SCRIPT)
    1616
    17 #-----[ Compilation ]--------------------------------------
     17#-----[ Compilation ]--------------------------------------
    1818INCDIR                          = $(SYSTEMC_INCDIR_$(SIMULATOR))        \
    1919                                  -I$(DIR_MORPHEO)
     
    4242LFLAGS                          = $(FLAGS) $(FLAGS_COMMON) $(LIBDIR)
    4343
    44 #-----[ Variable ]-----------------------------------------
     44#-----[ Variable ]-----------------------------------------
    4545
    4646ENTITY                          = `$(BASENAME) $$PWD`
     
    5050HEADERS                         = $(wildcard $(DIR_INC)/*.h)
    5151
    52 #-----[ Rules ]--------------------------------------------
     52#-----[ Rules ]--------------------------------------------
    5353.PRECIOUS                       : $(DIR_OBJ)/%.o
    5454
    5555test_env                        :
    56                                 @$(ECHO) "-------------------[ $(ENTITY) ]"
     56                                @$(ECHO) "-------------------[ $(ENTITY) ]"
    5757ifeq ($(origin MORPHEO_TOPLEVEL), undefined)
    5858                                $(error "variable MORPHEO_TOPLEVEL is undefined");
     
    8686common_help                     :
    8787                                @\
    88                                 $(ECHO) " -----[ Common ]-------------------------------------";\
     88                                $(ECHO) " -----[ Common ]-------------------------------------";\
    8989                                $(ECHO) "";\
    9090                                $(ECHO) " * test_env             : test if environnment's variable is set";\
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Makefile.Selftest

    r48 r53  
    22# $Id$
    33#
    4 # [ Description ]
     4# [ Description ]
    55#
    66# Makefile
     
    1414DIR_LOG                         = log
    1515
    16 #-----[ Variables ]----------------------------------------
     16#-----[ Variables ]----------------------------------------
    1717CFG_FILE_EXTENSION              = cfg
    1818CFG_FILE_DEBUG                  = debug.$(CFG_FILE_EXTENSION)
     
    2525EXEC                            = soft
    2626
    27 #-----[ Rules ]--------------------------------------------
     27#-----[ Rules ]--------------------------------------------
    2828.PRECIOUS                       : $(DIR_BIN)/%.x $(DIR_LOG)/%.exec.log
    2929.NOPARALLEL                     : clean clean_all help
     
    112112                                done;
    113113
    114 $(DIR_LOG)/%.exec.log           : $(DIR_CFG_GEN)/%.cfg $(DIR_BIN)/$(EXEC).x
     114$(DIR_LOG)/%.exec.log           : $(DIR_CFG_GEN)/%.cfg  $(DIR_BIN)/$(EXEC).x
     115                                @\
     116                                $(ECHO) "Execute            : $*";\
     117                                $(EXPORT) SYSTEMC=$(SYSTEMC_$(SIMULATOR)) ; ./$(DIR_BIN)/$(EXEC).x $(EXEC_PARAMS) $* `$(CAT) $<` &> $@
     118                                declare -i count=`$(GREP) -ch "Test OK" $@`;            \
     119                                if $(TEST) $$count -ne 0;                               \
     120                                then echo "                     $* ... OK";             \
     121                                else echo "                     $* ... KO"; exit 1;     \
     122                                fi;
     123
     124$(DIR_LOG)/%.exec.log           : $(DIR_CFG_USER)/%.cfg $(DIR_BIN)/$(EXEC).x
    115125                                @\
    116126                                $(ECHO) "Execute            : $*";\
     
    155165selftest_help                   :
    156166                                @\
    157                                 $(ECHO) " -----[ Selftest ]-----------------------------------";\
     167                                $(ECHO) " -----[ Selftest ]-----------------------------------";\
    158168                                $(ECHO) "";\
    159169                                $(ECHO) " * all_selftest         : compile all c++ file and execute";\
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Makefile.Synthesis

    r48 r53  
    22# $Id$
    33#
    4 # [ Description ]
     4# [ Description ]
    55#
    66# Makefile
    77#
    88
    9 #-----[ Variables ]----------------------------------------
     9#-----[ Variables ]----------------------------------------
    1010
    1111DIR_VHDL                        = .
     
    2121FPGA_LOG_FILES                  = $(patsubst $(DIR_CFG_GEN)/%.cfg,$(DIR_LOG)/%.fpga.log,$(wildcard $(DIR_CFG_GEN)/*.cfg))       \
    2222                                  $(patsubst $(DIR_CFG_USER)/%.cfg,$(DIR_LOG)/%.fpga.log,$(wildcard $(DIR_CFG_USER)/*.cfg))
    23 #-----[ Rules ]--------------------------------------------
     23#-----[ Rules ]--------------------------------------------
    2424.PRECIOUS                       : $(DIR_LOG)/%.vhdl.log $(DIR_LOG)/%.vhdl_sim.log
    2525
     
    8989synthesis_help                  :
    9090                                @\
    91                                 $(ECHO) " -----[ Synthesis ]----------------------------------";\
     91                                $(ECHO) " -----[ Synthesis ]----------------------------------";\
    9292                                $(ECHO) "";\
    9393                                $(ECHO) " * vhdl                 : compile all vhdl's file";\
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Makefile.deps

    r48 r53  
    88# DIR_MORPHEO must be defined
    99
     10Behavioural                     = yes
     11
    1012#ifndef Behavioural
    1113#include $(DIR_MORPHEO)/Behavioural/Makefile.deps
    1214#endif
    13 #
    14 Behavioural                     = yes
    1515
    1616#-----[ Library ]------------------------------------------
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/Makefile.flags

    r50 r53  
    1111# systemc                       - SystemC   
    1212# systemcass                    - SystemCASS
    13 # systemcass_deps               - SystemCASS, and use port dependency information instead of sensitivity list
     13# systemcass_deps               - Systemcass, and use port dependency information instead of sensitivity list
    1414
    1515#-----[ Flags ]--------------------------------------------
    1616FLAGS                           =       -DSYSTEMC               \
    17                                         -DVHDL                  \
    18                                         -DDEBUG=DEBUG_NONE
    19 
     17                                        -DDEBUG=DEBUG_TRACE
     18#                                       -DVHDL                  \
    2019#                                       -DVHDL_TESTBENCH        \
     20#                                       -DVHDL_TESTBENCH_ASSERT \
    2121#                                       -DSTATISTICS            \
    22 #                                       -DVHDL_TESTBENCH_ASSERT \
    2322#                                       -DCONFIGURATION         \
    2423#                                       -DPOSITION              \
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/New_Component/Makefile.deps

    r48 r53  
    88# DIR_MORPHEO must be defined
    99
     10@COMPONENT                      = yes
     11
    1012ifndef Behavioural
    1113include                         $(DIR_MORPHEO)/Behavioural/Makefile.deps
    1214endif
    13 
    14 @COMPONENT                      = yes
    1515
    1616#-----[ Library ]------------------------------------------
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/New_Component/SelfTest/src/test.cpp

    r50 r53  
    3333  cout << "<" << name << "> : Simulation SystemC" << endl;
    3434
     35#ifdef STATISTICS
     36  morpheo::behavioural::Parameters_Statistics * _parameters_statistics = new morpheo::behavioural::Parameters_Statistics (5,50);
     37#endif
     38
    3539  @COMPONENT * _@COMPONENT = new @COMPONENT (name.c_str(),
    3640#ifdef STATISTICS
    37                                              morpheo::behavioural::Parameters_Statistics(5,50),
     41                                             _parameters_statistics,
    3842#endif
    39                                              *_param);
     43                                             _param);
    4044 
    4145#ifdef SYSTEMC
     
    4347   * Déclarations des signaux
    4448   *********************************************************************/
    45   sc_clock                               * CLOCK;
    46   sc_signal<Tcontrol_t>                  * NRESET;
     49  sc_clock                               * in_CLOCK;
     50  sc_signal<Tcontrol_t>                  * in_NRESET;
    4751
    4852  string rename;
    4953
    50   CLOCK                                  = new sc_clock ("clock", 1.0, 0.5);
    51   NRESET                                 = new sc_signal<Tcontrol_t> ("NRESET");
     54  in_CLOCK                                = new sc_clock ("clock", 1.0, 0.5);
     55  in_NRESET                               = new sc_signal<Tcontrol_t> ("NRESET");
    5256 
    5357  /********************************************************
     
    5761  cout << "<" << name << "> Instanciation of _@COMPONENT" << endl;
    5862 
    59   (*(_@COMPONENT->in_CLOCK))        (*(CLOCK));
    60   (*(_@COMPONENT->in_NRESET))       (*(NRESET));
     63  (*(_@COMPONENT->in_CLOCK))        (*(in_CLOCK));
     64  (*(_@COMPONENT->in_NRESET))       (*(in_NRESET));
    6165
    6266
     
    100104
    101105  delete _@COMPONENT;
     106#ifdef SYSTEMC
     107  delete _parameters_statistics;
     108#endif
    102109}
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/New_Component/include/New_Component.h

    r50 r53  
    4040    // -----[ fields ]----------------------------------------------------
    4141    // Parameters
    42   protected : const string     _name;
     42  protected : const string       _name;
    4343
    44   protected : const Parameters _param;
     44  protected : const Parameters * _param;
    4545//#ifdef STATISTICS
    46 //  protected : const morpheo::behavioural::Parameters_Statistics _param_statistics;
     46//  protected : const morpheo::behavioural::Parameters_Statistics * _param_statistics;
    4747//#endif
    4848
     
    7474  public  :          @COMPONENT              (
    7575#ifdef SYSTEMC
    76                                               sc_module_name                              name,
     76                                              sc_module_name                                name,
    7777#else                                         
    78                                               string                                      name,
     78                                              string                                        name,
    7979#endif                                         
    8080#ifdef STATISTICS
    81                                               morpheo::behavioural::Parameters_Statistics param_statistics,
     81                                              morpheo::behavioural::Parameters_Statistics * param_statistics,
    8282#endif
    83                                               Parameters                                  param );
    84                                                
    85   public  :          @COMPONENT              (Parameters param );
     83                                              Parameters                                  * param );
    8684  public  :          ~@COMPONENT             (void);
    8785                                               
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/New_Component/include/Statistics.h

    r44 r53  
    2525  {
    2626    // -----[ fields ]----------------------------------------------------
    27   private  : const Parameters                                   _parameters;
     27  private  : const Parameters                                 * _parameters;
    2828
    2929    // -----[ methods ]---------------------------------------------------
    30   public   : Statistics  (string                                      name                       ,
    31                           morpheo::behavioural::Parameters_Statistics parameters_statistics      ,
    32                           Parameters                                  parameters
     30  public   : Statistics  (string                                        name                       ,
     31                          morpheo::behavioural::Parameters_Statistics * parameters_statistics      ,
     32                          Parameters                                  * parameters
    3333                          );
    3434//public   : Statistics  (Statistics & stat);
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/New_Component/src/New_Component.cpp

    r41 r53  
    1212@NAMESPACE_BEGIN
    1313
     14#undef  FUNCTION
     15#define FUNCTION "@COMPONENT::@COMPONENT"
    1416#ifdef SYSTEMC
    1517  @COMPONENT::@COMPONENT (sc_module_name name,
     
    1820#endif
    1921#ifdef STATISTICS
    20                               morpheo::behavioural::Parameters_Statistics            param_statistics,
     22                          morpheo::behavioural::Parameters_Statistics * param_statistics,
    2123#endif
    22                               morpheo::behavioural::@NAMESPACE_USE::Parameters param ):
     24                          morpheo::behavioural::@NAMESPACE_USE::Parameters * param ):
    2325                              _name              (name)
    2426                              ,_param            (param)
     
    2729// #endif
    2830  {
    29     log_printf(FUNC,@COMPONENT,"@COMPONENT","Begin");
     31    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
    3032
    3133#ifdef SYSTEMC
    32     log_printf(INFO,@COMPONENT,"@COMPONENT","Allocation");
     34    log_printf(INFO,@COMPONENT,FUNCTION,"Allocation");
    3335
    3436    allocation ();
     
    3638
    3739#ifdef STATISTICS
    38     log_printf(INFO,@COMPONENT,"@COMPONENT","Allocation of statistics");
     40    log_printf(INFO,@COMPONENT,FUNCTION,"Allocation of statistics");
    3941
    4042    // Allocation of statistics
     
    4648#ifdef VHDL
    4749    // generate the vhdl
    48     log_printf(INFO,@COMPONENT,"@COMPONENT","Generate the vhdl");
     50    log_printf(INFO,@COMPONENT,FUNCTION,"Generate the vhdl");
    4951
    5052    vhdl();
     
    5355#ifdef SYSTEMC
    5456//#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
    55     log_printf(INFO,@COMPONENT,"@COMPONENT","Method - transition");
     57    log_printf(INFO,@COMPONENT,FUNCTION,"Method - transition");
    5658
    5759    SC_METHOD (transition);
     
    6567
    6668#endif
    67     log_printf(FUNC,@COMPONENT,"@COMPONENT","End");
     69    log_printf(FUNC,@COMPONENT,FUNCTION,"End");
    6870  };
    6971 
     72#undef  FUNCTION
     73#define FUNCTION "@COMPONENT::~@COMPONENT"
    7074  @COMPONENT::~@COMPONENT (void)
    7175  {
    72     log_printf(FUNC,@COMPONENT,"~@COMPONENT","Begin");
     76    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
    7377
    7478#ifdef STATISTICS
    75     log_printf(INFO,@COMPONENT,"~@COMPONENT","Generate Statistics file");
     79    log_printf(INFO,@COMPONENT,FUNCTION,"Generate Statistics file");
    7680
    7781    _stat->generate_file(statistics(0));
     
    8185
    8286#ifdef SYSTEMC
    83     log_printf(INFO,@COMPONENT,"~@COMPONENT","Deallocation");
     87    log_printf(INFO,@COMPONENT,FUNCTION,"Deallocation");
    8488
    8589    deallocation ();
    8690#endif
    8791
    88     log_printf(FUNC,@COMPONENT,"~@COMPONENT","End");
     92    log_printf(FUNC,@COMPONENT,FUNCTION,"End");
    8993  };
    9094
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/New_Component/src/New_Component_allocation.cpp

    r50 r53  
    1313@NAMESPACE_BEGIN
    1414
     15
     16#undef  FUNCTION
     17#define FUNCTION "@COMPONENT::allocation"
    1518  void @COMPONENT::allocation (void)
    1619  {
    1720    string rename;
    1821
    19     log_printf(FUNC,@COMPONENT,"allocation","Begin");
     22    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
    2023
    2124    _component   = new Component ();
     
    4952#endif
    5053
    51     log_printf(FUNC,@COMPONENT,"allocation","End");
     54    log_printf(FUNC,@COMPONENT,FUNCTION,"End");
    5255  };
    5356
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/New_Component/src/New_Component_deallocation.cpp

    r42 r53  
     1#undef  FUNCTION
     2#define FUNCTION "@COMPONENT::"
     3
    14#ifdef SYSTEMC
    25/*
     
    1316@NAMESPACE_BEGIN
    1417
     18#undef  FUNCTION
     19#define FUNCTION "@COMPONENT::deallocation"
    1520  void @COMPONENT::deallocation (void)
    1621  {
    17     log_printf(FUNC,@COMPONENT,"deallocation","Begin");
     22    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
    1823
    1924//#if defined(STATISTICS) or defined(VHDL_TESTBENCH)
    20     delete in_CLOCK;
     25    delete    in_CLOCK ;
    2126//#endif
    22     delete in_NRESET;
     27    delete    in_NRESET;
    2328
    2429    // ~~~~~[ Component ]~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~   
     
    2631    delete _component;
    2732
    28     log_printf(FUNC,@COMPONENT,"deallocation","End");
     33    log_printf(FUNC,@COMPONENT,FUNCTION,"End");
    2934  };
    3035
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/New_Component/src/New_Component_statistics.cpp

    r2 r53  
    1313@NAMESPACE_BEGIN
    1414
     15#undef  FUNCTION
     16#define FUNCTION "@COMPONENT::statistics"
    1517  string @COMPONENT::statistics (uint32_t depth)
    1618  {
    17     log_printf(FUNC,@COMPONENT,"statistics","Begin");
     19    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
    1820
    1921    string txt = _stat->print(depth);
    2022   
    21     log_printf(FUNC,@COMPONENT,"statistics","End");
     23    log_printf(FUNC,@COMPONENT,FUNCTION,"End");
    2224
    2325    return txt;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/New_Component/src/New_Component_transition.cpp

    r15 r53  
    1414@NAMESPACE_BEGIN
    1515
     16#undef  FUNCTION
     17#define FUNCTION "@COMPONENT::transition"
    1618  void @COMPONENT::transition (void)
    1719  {
    18     log_printf(FUNC,@COMPONENT,"transition","Begin");
     20    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
    1921
    2022#ifdef STATISTICS
     
    2628#endif
    2729
    28     log_printf(FUNC,@COMPONENT,"transition","End");
     30    log_printf(FUNC,@COMPONENT,FUNCTION,"End");
    2931  };
    3032
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/New_Component/src/New_Component_vhdl.cpp

    r50 r53  
    1414@NAMESPACE_BEGIN
    1515
     16#undef  FUNCTION
     17#define FUNCTION "@COMPONENT::vhdl"
    1618  void @COMPONENT::vhdl (void)
    1719  {
    18     log_printf(FUNC,@COMPONENT,"vhdl","Begin");
     20    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
    1921
    2022    Vhdl * vhdl = new Vhdl (_name);
     
    3032    delete vhdl;
    3133
    32     log_printf(FUNC,@COMPONENT,"vhdl","End");
     34    log_printf(FUNC,@COMPONENT,FUNCTION,"End");
    3335  };
    3436
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/New_Component/src/New_Component_vhdl_body.cpp

    r50 r53  
    1313@NAMESPACE_BEGIN
    1414
     15#undef  FUNCTION
     16#define FUNCTION "@COMPONENT::vhdl_body"
    1517  void @COMPONENT::vhdl_body (Vhdl * & vhdl)
    1618  {
    17     log_printf(FUNC,@COMPONENT,"vhdl_body","Begin");
     19    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
    1820    vhdl->set_body ("");
    19     log_printf(FUNC,@COMPONENT,"vhdl_body","End");
     21    log_printf(FUNC,@COMPONENT,FUNCTION,"End");
    2022  };
    2123
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/New_Component/src/New_Component_vhdl_declaration.cpp

    r50 r53  
    1313@NAMESPACE_BEGIN
    1414
     15#undef  FUNCTION
     16#define FUNCTION "@COMPONENT::vhdl_declaration"
    1517  void @COMPONENT::vhdl_declaration (Vhdl * & vhdl)
    1618  {
    17     log_printf(FUNC,@COMPONENT,"vhdl_declaration","Begin");
    18     log_printf(FUNC,@COMPONENT,"vhdl_declaration","End");
     19    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
     20    log_printf(FUNC,@COMPONENT,FUNCTION,"End");
    1921  };
    2022
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/New_Component/src/New_Component_vhdl_testbench_transition.cpp

    r44 r53  
    1313@NAMESPACE_BEGIN
    1414
     15#undef  FUNCTION
     16#define FUNCTION "@COMPONENT::vhdl_testbench_transition"
    1517  void @COMPONENT::vhdl_testbench_transition ()
    1618  {
    17     log_printf(FUNC,@COMPONENT,"vhdl_testbench_transition","Begin");
     19    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
    1820
    1921    // Evaluation before read the ouput signal
     
    2224    _interfaces->testbench();
    2325
    24     log_printf(FUNC,@COMPONENT,"vhdl_testbench_transition","End");
     26    log_printf(FUNC,@COMPONENT,FUNCTION,"End");
    2527  };
    2628
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/New_Component/src/Parameters.cpp

    r15 r53  
    1212@NAMESPACE_BEGIN
    1313
     14#undef  FUNCTION
     15#define FUNCTION "@COMPONENT::Parameters"
    1416  Parameters::Parameters ()
    1517  {
    16     log_printf(FUNC,@COMPONENT,"Parameters","Begin");
     18    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
    1719    test();
    18     log_printf(FUNC,@COMPONENT,"Parameters","End");
     20    log_printf(FUNC,@COMPONENT,FUNCTION,"End");
    1921  };
    2022 
     23#undef  FUNCTION
     24#define FUNCTION "@COMPONENT::Parameters (copy)"
    2125  Parameters::Parameters (Parameters & param)
    2226  {
    23     log_printf(FUNC,@COMPONENT,"Parameters (copy)","Begin");
     27    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
    2428    test();
    25     log_printf(FUNC,@COMPONENT,"Parameters (copy)","End");
     29    log_printf(FUNC,@COMPONENT,FUNCTION,"End");
    2630  };
    2731
     32#undef  FUNCTION
     33#define FUNCTION "@COMPONENT::~Parameters"
    2834  Parameters::~Parameters ()
    2935  {
    30     log_printf(FUNC,@COMPONENT,"~Parameters","Begin");
    31     log_printf(FUNC,@COMPONENT,"~Parameters","End");
     36    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
     37    log_printf(FUNC,@COMPONENT,FUNCTION,"End");
    3238  };
    3339
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/New_Component/src/Parameters_msg_error.cpp

    r2 r53  
    1515@NAMESPACE_BEGIN
    1616
     17#undef  FUNCTION
     18#define FUNCTION "@COMPONENT::msg_error"
    1719  string Parameters::msg_error(void)
    1820  {
    19     log_printf(FUNC,@COMPONENT,"msg_error","Begin");
     21    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
    2022
    2123    string msg = "";
     
    2325    return msg;
    2426
    25     log_printf(FUNC,@COMPONENT,"msg_error","End");
     27    log_printf(FUNC,@COMPONENT,FUNCTION,"End");
    2628  };
    2729
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/New_Component/src/Parameters_print.cpp

    r15 r53  
    1414@NAMESPACE_BEGIN
    1515
     16#undef  FUNCTION
     17#define FUNCTION "@COMPONENT::print"
    1618  string Parameters::print (uint32_t depth)
    1719  {
    18     log_printf(FUNC,@COMPONENT,"print","Begin");
     20    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
    1921
    2022    XML xml ("@COMPONENT_LOWER");
    2123
    2224    xml.balise_open("@COMPONENT_LOWER");
    23 //  xml.singleton_begin("size_data"); xml.attribut("value",toString(_size_data)); xml.singleton_end();
    24 //  xml.singleton_begin("nb_port  "); xml.attribut("value",toString(_nb_port  )); xml.singleton_end();
     25//  xml.singleton_begin(""); xml.attribut("value",toString(_)); xml.singleton_end();
    2526    xml.balise_close();
    2627
    27     log_printf(FUNC,@COMPONENT,"print","End");
     28    log_printf(FUNC,@COMPONENT,FUNCTION,"End");
    2829   
    2930    return xml.get_body(depth);
    3031  };
    3132
     33#undef  FUNCTION
     34#define FUNCTION "@COMPONENT::operator<<"
    3235  ostream& operator<< (ostream& output_stream ,
    3336                       morpheo::behavioural::@NAMESPACE_USE::Parameters & x)
    3437  {
     38    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
     39
    3540    output_stream << x.print(0);
    3641   
     42    log_printf(FUNC,@COMPONENT,FUNCTION,"End");
     43
    3744    return output_stream;
    3845  };
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/New_Component/src/Statistics.cpp

    r2 r53  
    1313@NAMESPACE_BEGIN
    1414
    15   Statistics::Statistics (string                                      name                       ,
    16                           morpheo::behavioural::Parameters_Statistics parameters_statistics      ,
    17                           Parameters                                  parameters
     15#undef  FUNCTION
     16#define FUNCTION "@COMPONENT::Statistics"
     17  Statistics::Statistics (string                                        name                       ,
     18                          morpheo::behavioural::Parameters_Statistics * parameters_statistics      ,
     19                          Parameters                                  * parameters
    1820                          ) :
    1921    morpheo::behavioural::Statistics(name                  ,
     
    2123    _parameters(parameters)
    2224  {
    23     log_printf(FUNC,@COMPONENT,"Statistics","Begin");
    24     log_printf(FUNC,@COMPONENT,"Statistics","End");
     25    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
     26    log_printf(FUNC,@COMPONENT,FUNCTION,"End");
    2527  };
    2628 
     29#undef  FUNCTION
     30#define FUNCTION "@COMPONENT::~Statistics"
    2731  Statistics::~Statistics ()
    2832  {
    29     log_printf(FUNC,@COMPONENT,"~Statistics","Begin");
    30     log_printf(FUNC,@COMPONENT,"~Statistics","End");
     33    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
     34    log_printf(FUNC,@COMPONENT,FUNCTION,"End");
    3135  };
    3236
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/New_Component/src/Statistics_add.cpp

    r2 r53  
    1616@NAMESPACE_BEGIN
    1717
     18#undef  FUNCTION
     19#define FUNCTION "@COMPONENT::add"
    1820  void Statistics::add ()
    1921  {
    20     log_printf(FUNC,@COMPONENT,"add","Begin");
    21     log_printf(FUNC,@COMPONENT,"add","End");
     22    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
     23    log_printf(FUNC,@COMPONENT,FUNCTION,"End");
    2224  };
    2325
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/New_Component/src/Statistics_print.cpp

    r2 r53  
    1616@NAMESPACE_BEGIN
    1717
     18#undef  FUNCTION
     19#define FUNCTION "@COMPONENT::print"
    1820  string Statistics::print (uint32_t depth)
    1921  {
    20     log_printf(FUNC,@COMPONENT,"print","Begin");
     22    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
    2123
    2224    string        tab = string(depth,'\t');
     
    2729        << tab << "</@COMPONENT_LOWER>" << endl;
    2830   
    29     log_printf(FUNC,@COMPONENT,"print","End");
     31    log_printf(FUNC,@COMPONENT,FUNCTION,"End");
    3032
    3133    return msg.str();
    3234  };
    3335
     36#undef  FUNCTION
     37#define FUNCTION "@COMPONENT::operator<<"
    3438  ostream& operator<< (ostream& output_stream ,
    3539                       morpheo::behavioural::@NAMESPACE_USE::Statistics & x)
    3640  {
     41    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
     42
    3743    output_stream << x.print(0);
     44
     45    log_printf(FUNC,@COMPONENT,FUNCTION,"End");
    3846
    3947    return output_stream;
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/New_Component/src/Statistics_print_body.cpp

    r2 r53  
    1616@NAMESPACE_BEGIN
    1717
     18#undef  FUNCTION
     19#define FUNCTION "@COMPONENT::print_body"
    1820  string Statistics::print_body (uint32_t depth)
    1921  {
    20     log_printf(FUNC,@COMPONENT,"print_body","Begin");
     22    log_printf(FUNC,@COMPONENT,FUNCTION,"Begin");
    2123
    2224    string        tab = string(depth,'\t');
     
    2527    msg << tab << "";
    2628   
    27     log_printf(FUNC,@COMPONENT,"print_body","End");
     29    log_printf(FUNC,@COMPONENT,FUNCTION,"End");
    2830
    2931    return msg.str();
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/include/Debug_component.h

    r50 r53  
    77#define     DEBUG_Group                                           false
    88#define     DEBUG_Shifter                                         false
    9 #define     DEBUG_Register_File                                   false
     9#define     DEBUG_RegisterFile                                    false
    1010#define       DEBUG_RegisterFile_Monolithic                       false
    11 #define       DEBUG_RegisterFile_Multi_Banked                     true
     11#define       DEBUG_RegisterFile_Multi_Banked                     false
    1212#define     DEBUG_Select                                          false
    1313#define       DEBUG_Select_Priority_Fixed                         false
    14 #define     DEBUG_Victim                                          false
     14#define     Debug_Victim                                          false
    1515#define       DEBUG_Victim_Pseudo_LRU                             false
    1616#define   DEBUG_Core                                              false
     17#define     DEBUG_Multi_Execute_loop                              false
     18#define       DEBUG_Execute_loop                                  false
     19#define         DEBUG_Multi_Read_unit                             false
     20#define           DEBUG_Read_unit                                 false
     21#define             DEBUG_Read_queue                              false
    1722#define     DEBUG_Multi_Front_end                                 false
    1823#define       DEBUG_Front_end                                     false
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/include/Statistics.h

    r44 r53  
    2626  {
    2727    // -----[ fields ]----------------------------------------------------
    28   protected : const string                _name;
    29   protected : const Parameters_Statistics _parameters_statistics;
     28  protected : const string                  _name;
     29  protected : const Parameters_Statistics * _parameters_statistics;
    3030
    3131  protected :       uint32_t _nb_statistics;         // nb period ...
     
    3333
    3434    // -----[ methods ]---------------------------------------------------
    35   public    :                  Statistics          (string                name                 ,
    36                                                     Parameters_Statistics parameters_statistics);
     35  public    :                  Statistics          (string                  name                 ,
     36                                                    Parameters_Statistics * parameters_statistics);
    3737  public    : virtual          ~Statistics         ();
    3838
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Statistics.cpp

    r43 r53  
    1515#undef  FUNCTION
    1616#define FUNCTION "Statistics::Statistics"
    17   Statistics::Statistics  (string                name                 ,
    18                            Parameters_Statistics parameters_statistics):
     17  Statistics::Statistics  (string                  name                 ,
     18                           Parameters_Statistics * parameters_statistics):
    1919    _name                  (name                 ),
    2020    _parameters_statistics (parameters_statistics)
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Statistics_compute_cycle_xxx.cpp

    r43 r53  
    1818  {
    1919    log_printf(FUNC,Behavioural,FUNCTION,"Begin");
    20     uint32_t _return = _parameters_statistics._nb_cycle_before_begin + num_statistics*_parameters_statistics._period_save;
     20    uint32_t _return = _parameters_statistics->_nb_cycle_before_begin + num_statistics*_parameters_statistics->_period_save;
    2121    log_printf(FUNC,Behavioural,FUNCTION,"End");
    2222   
  • trunk/IPs/systemC/processor/Morpheo/Behavioural/src/Statistics_test_if_save.cpp

    r43 r53  
    2626
    2727    // Test if allors a new counters ...
    28     if ( ((_nb_statistics == 0) && (_period_current >= _parameters_statistics._nb_cycle_before_begin)) ||
    29          ((_nb_statistics >  0) && (_period_current >= _parameters_statistics._period_save          )) )
     28    if ( ((_nb_statistics == 0) && (_period_current >= _parameters_statistics->_nb_cycle_before_begin)) ||
     29         ((_nb_statistics >  0) && (_period_current >= _parameters_statistics->_period_save          )) )
    3030      {
    3131        save<Counters,Parameters_Counters>(counters,parameters);
  • trunk/IPs/systemC/processor/Morpheo/Common/include/Test.h

    r50 r53  
    1212static uint32_t num_test;
    1313
     14void test_ko_error (void)
     15{
     16  string msg = "Test ko : error in test \""+toString(num_test)+"\"";
     17  throw (ErrorMorpheo (msg));
     18}
     19 
    1420template <class T>
    15 void test_ko (T exp1, T exp2, char * file, uint32_t line)
     21void test_ko (char * file, uint32_t line, T exp1, T exp2)
    1622{
    17   string msg = ("<"+toString(num_test)+"> : Test KO\n" +
    18                 " * Localisation\n"                    +
    19                 "   - File : "+file+"\n"               +
    20                 "   - Line : "+toString(line)+"\n"     +
    21                 " * Expression is different\n"         +
    22                 "   - exp1 : "+toString(exp1)+"\n"     +
    23                 "   - exp2 : "+toString(exp2)+"\n");
    24  
    25   throw (ErrorMorpheo (msg));
     23  cerr << "[" << num_test << "] : Test KO"
     24       << "\tline " << line                           << endl
     25       << " * Localisation"                           << endl
     26       << "   - File : " << file                      << endl
     27       << "   - Line : " << line                      << endl
     28       << " * Expression is different"                << endl
     29       << "   - exp1 : "+toString(exp1)               << endl
     30       << "   - exp2 : "+toString(exp2)               << endl;
     31
     32  test_ko_error ();
    2633};
    2734
    2835void test_ko (char * file, uint32_t line)
    2936{
    30   string msg = ("<"+toString(num_test)+"> : Test KO\n" +
    31                 " * Localisation\n"                    +
    32                 "   - File : "+file+"\n"               +
    33                 "   - Line : "+toString(line)+"\n");
     37  cerr << "[" << num_test << "] : Test KO"
     38       << "\tline " << line                           << endl
     39       << " * Localisation"                           << endl
     40       << "   - File : " << file                      << endl
     41       << "   - Line : " << line                      << endl;
    3442 
    35   throw (ErrorMorpheo (msg));
     43  test_ko_error ();
    3644};
    3745
    3846void test_ok ()
    3947{
    40   cout << "{" << num_test << "} : Test OK" << endl;
     48  cout << "[" << num_test << "] : Test OK"            << endl;
     49
     50  num_test ++;
     51};
     52
     53void test_ok (char * file, uint32_t line)
     54{
     55  cout << "[" << num_test << "] : Test OK"
     56       << "\tline " << line                           << endl
     57//     << " * Localisation"                           << endl
     58//     << "   - File : " << file                      << endl
     59//     << "   - Line : " << line                      << endl
     60    ;
    4161
    4262  num_test ++;
     
    4464
    4565template <class T>
    46 void test(T exp1, T exp2, char * file, uint32_t line)
     66void test_ok (char * file, uint32_t line, T exp)
     67{
     68  cout << "[" << num_test << "] : Test OK"
     69       << "\tline " << line                           
     70       << "\tvalue : " << toString(exp)               << endl
     71//     << " * Localisation"                           << endl
     72//     << "   - File : " << file                      << endl
     73//     << "   - Line : " << line                      << endl
     74//     << " * Expression"                             << endl
     75//     << "   - exp  : "+toString(exp)                << endl
     76    ;
     77
     78  num_test ++;
     79}
     80
     81template <class T>
     82void test(char * file, uint32_t line, T exp1, T exp2)
    4783{
    4884  if (exp1 != exp2)
    49     test_ko <T> (exp1,exp2,file,line);
     85    test_ko <T> (file,line,exp1,exp2);
    5086  else
    51     test_ok     ();
     87    test_ok <T> (file,line,exp1);
    5288};
    5389
    54 #define TEST(type,exp1,exp2)            do {test<type> (exp1,exp2,__FILE__,__LINE__);} while(0)
    55 #define TEST_STR(type,exp1,exp2,str...) do {fprintf(stdout,str); fprintf(stdout,"\n"); test<type> (exp1,exp2,__FILE__,__LINE__);} while(0)
    56 #define TEST_OK(str...)                 do {fprintf(stdout,str); fprintf(stdout,"\n"); test_ok();} while(0)
     90#define TEST(type,exp1,exp2)            do {test<type> (__FILE__,__LINE__,exp1,exp2);} while(0)
     91#define TEST_STR(type,exp1,exp2,str...) do {fprintf(stdout,str); fprintf(stdout,"\n"); test<type> (__FILE__,__LINE__,exp1,exp2);} while(0)
     92#define TEST_OK(str...)                 do {fprintf(stdout,str); fprintf(stdout,"\n"); test_ok(__FILE__,__LINE__);} while(0)
    5793#define TEST_KO(str...)                 do {fprintf(stdout,str); fprintf(stdout,"\n"); test_ko(__FILE__,__LINE__);} while(0)
    5894
  • trunk/IPs/systemC/processor/Morpheo/Documentation/Source/Makefile

    r52 r53  
    103103                        $(DVIPS) -o $@ $<;
    104104
    105 #$(DIR_DOCUMENTS)/*/*/*
    106 %.dvi                   : $(DIR_TEX)/%.tex $(DIR_LINK)/%/*
     105#$(DIR_LINK)/%/*
     106%.dvi                   : $(DIR_TEX)/%.tex
    107107                        @\
    108108                        $(ECHO) "Génération du fichier $*.dvi";                                                 \
Note: See TracChangeset for help on using the changeset viewer.