Ignore:
Timestamp:
May 28, 2013, 11:17:14 AM (11 years ago)
Author:
meunier
Message:

Tried to clean the test_regression directory:

  • Code formatting
  • Supressed warnings
  • Made comprehensible outputs
  • Factorized Makefiles

There's still a lot to do (many tests don't pass for either good or bad reasons)

File:
1 edited

Legend:

Unmodified
Added
Removed
  • sources/test_regression/08092005/system.cpp

    r1 r55  
     1
     2// Circular sensitivity dependency
     3// Does not compile with systemcass
     4
    15#include "systemc.h"
    26
    3 #define ASSERT(x) \
    4   { errnum++; \
    5     if (!(x)) \
    6     { \
    7     cerr << "ASSERT : " #x "\n"; \
    8     exit (errnum); \
    9     } \
    10   }
     7
     8#include "test.h"
    119
    1210using namespace std;
    1311
    14 struct hard : sc_module
    15 {
    16   sc_in_clk    clk;
    17   sc_in <int>  i1, i2;
    18   sc_out<int>  o1, o2;
     12struct hard : sc_module {
     13    sc_in_clk clk;
     14    sc_in <int> i1, i2;
     15    sc_out<int> o1, o2;
    1916
    20   void f ()
    21   {
    22     o1 = i1;
    23     o2 = i2;
    24   }
     17    void f() {
     18        o1 = i1;
     19        o2 = i2;
     20    }
    2521
    26   SC_HAS_PROCESS(hard);
    27   hard(sc_module_name) /*:
    28     clk("clk"),
    29     i1 ("i1"),
    30     i2 ("i2"),
    31     o1 ("o1"),
    32     o2 ("o2")*/
    33   {
    34     SC_METHOD(f);
    35     dont_initialize();
    36     sensitive << i1 << i2;
     22    SC_HAS_PROCESS(hard);
     23    hard(sc_module_name) {
     24        SC_METHOD(f);
     25        sensitive << i1 << i2;
     26        dont_initialize();
     27
    3728#ifdef SYSTEMCASS_SPECIFIC
    38     o1 (i1);
    39     o2 (i2);
     29        o1(i1);
     30        o2(i2);
    4031#endif
    41   }
     32    }
    4233};
    4334
    44 int
    45 sc_main (int argc, char ** argv)
    46 {
    47   sc_clock        clk("clk");
    48   sc_signal<int>  s[10];
    49   hard a("a");
    50   hard b("b");
    51   hard c("c");
    5235
    53   a.clk (clk);
    54   b.clk (clk);
     36int sc_main(int argc, char ** argv) {
     37    sc_clock clk("clk");
     38    sc_signal<int> s[10];
     39    hard a("a");
     40    hard b("b");
     41    hard c("c");
    5542
    56   a.i1 (s[0]);
     43    a.clk(clk);
     44    b.clk(clk);
    5745
    58   a.o1 (s[1]);
    59   b.i1 (s[1]);
     46    a.i1(s[0]);
    6047
    61   b.o1 (s[2]);
     48    a.o1(s[1]);
     49    b.i1(s[1]);
    6250
    63   b.i2 (s[3]);
     51    b.o1(s[2]);
    6452
    65   b.o2 (s[4]);
    66   a.i2 (s[4]);
     53    b.i2(s[3]);
    6754
    68   a.o2 (s[5]);
     55    b.o2(s[4]);
     56    a.i2(s[4]);
    6957
    70   c.i1 (s[6]);
    71   c.o1 (s[7]);
    72   c.i2 (s[8]);
    73   c.o2 (s[9]);
     58    a.o2(s[5]);
    7459
    75   sc_initialize ();
    76  
    77   s[0] = 1;
    78   s[3] = 1;
     60    c.i1(s[6]);
     61    c.o1(s[7]);
     62    c.i2(s[8]);
     63    c.o2(s[9]);
    7964
    80   sc_start (1);
     65    sc_start(sc_time(0, sc_core::SC_NS));
    8166
    82   s[0] = 123;
    83   s[3] = 321;
     67    s[0] = 1;
     68    s[3] = 1;
    8469
    85   sc_start (1);
     70    sc_start(sc_time(0, sc_core::SC_NS));
    8671
    87   return 0;
     72    s[0] = 123;
     73    s[3] = 321;
     74
     75    sc_start(sc_time(1, sc_core::SC_NS));
     76
     77    return 0;
    8878}
    8979
     80
     81/*
     82# Local Variables:
     83# tab-width: 4;
     84# c-basic-offset: 4;
     85# c-file-offsets:((innamespace . 0)(inline-open . 0));
     86# indent-tabs-mode: nil;
     87# End:
     88#
     89# vim: filetype=cpp:expandtab:shiftwidth=4:tabstop=4:softtabstop=4
     90*/
     91
Note: See TracChangeset for help on using the changeset viewer.