Ignore:
Timestamp:
May 29, 2013, 6:05:44 PM (11 years ago)
Author:
meunier
Message:
  • Tried to fix a problem with echo
  • Started to resolve some tests failing (often because of the test itself)
File:
1 edited

Legend:

Unmodified
Added
Removed
  • sources/test_regression/05092005/system.cpp

    r55 r56  
    33
    44#include "test.h"
     5
     6/*
     7 * Test for detecting an overflow in an array of sc_signal.
     8 * I (QM) am not sure it is possible to detect that actually...
     9 */
    510
    611using namespace std;
     
    2126    a.i(s[0]);
    2227    a.o(s[1]);
    23     b.i(s[5]); // Wrong array index. This BUG should be detected.
     28    b.i(s[5]); // Wrong array index
    2429    b.o(s[2]);
    2530
Note: See TracChangeset for help on using the changeset viewer.